NXP MPC8308 Reference guide

Category
Processors
Type
Reference guide
MPC8308 PowerQUICC II Pro
Processor Reference Manual
MPC8308RM
Rev. 1
09/2013
How to Reach Us:
Home Page:
www.freescale.com
Web Support:
http://www.freescale.com/support
Information in this document is provided solely to enable system and software
implementers to use Freescale products. There are no express or implied copyright
licenses granted hereunder to design or fabricate any integrated circuits based on the
information in this document.
Freescale reserves the right to make changes without further notice to any products
herein. Freescale makes no warranty, representation, or guarantee regarding the
suitability of its products for any particular purpose, nor does Freescale assume any
liability arising out of the application or use of any product or circuit, and specifically
disclaims any and all liability, including without limitation consequential or incidental
damages. “Typical” parameters that may be provided in Freescale data sheets and/or
specifications can and do vary in different applications, and actual performance may vary
over time. All operating parameters, including “typicals,” must be validated for each
customer application by customer’s technical experts. Freescale does not convey any
license under its patent rights nor the rights of others. Freescale sells products pursuant
to standard terms and conditions of sale, which can be found at the following address:
freescale.com/SalesTermsandConditions.
Freescale, the Freescale logo, CodeWarrior, ColdFire, PowerQUICC,QorIQ,StarCore,
Symphony,and VortiQa are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat.
& Tm. Off. CoreNet,and QUICC Engineare trademarks of Freescale Semiconductor, Inc.
All other product or service names are the property of their respective owners. The Power
Architecture and Power.org word marks and the Power and Power.org logos and related
marks are trademarks and service marks licensed by Power.org.
© 2010 and 2013 Freescale Semiconductor, Inc.
Document Number: MPC8308RM
Rev. 1, 09/2013
MPC8308 PowerQUICC II Pro Processor Reference Manual, Rev. 1
Freescale Semiconductor iii
Contents
Paragraph
Number Title
Page
Number
About This Book
Audience...................................................................................................................... lvii
Organization................................................................................................................. lvii
Suggested Reading........................................................................................................ lix
General Information.................................................................................................. lix
Related Documentation ............................................................................................ lix
Conventions................................................................................................................... lx
Signal Conventions....................................................................................................... lxi
Acronyms and Abbreviations ....................................................................................... lxi
Chapter 1
Overview
1.1 MPC8308 Overview ........................................................................................................ 1-1
1.2 MPC8308 Architecture Overview ................................................................................... 1-7
1.2.1 e300 Core..................................................................................................................... 1-7
1.2.2 DDR2 Memory Controller......................................................................................... 1-10
1.2.3 Dual Enhanced Three-Speed Ethernet Controllers.................................................... 1-10
1.2.4 SerDes PHY............................................................................................................... 1-11
1.2.5 PCI Express Interface ................................................................................................ 1-11
1.2.6 Universal Serial Bus (USB) 2.0................................................................................. 1-11
1.2.7 Enhanced Local Bus Controller (eLBC).................................................................... 1-12
1.2.8 Integrated Programmable Interrupt Controller (IPIC)............................................... 1-14
1.2.9 I
2
C Interface............................................................................................................... 1-15
1.2.10 General Purpose DMA Controller............................................................................. 1-15
1.2.11 Dual Universal Asynchronous Receiver/Transmitter (DUART)............................... 1-16
1.2.12 Enhanced Secure Digital Host Controller (eSDHC).................................................. 1-16
1.2.13 System Timers ...........................................................................................................1-17
Chapter 2
Signal Descriptions
2.1 Signals Overview.............................................................................................................2-1
2.2 Output Signal States During Reset ................................................................................ 2-13
MPC8308 PowerQUICC II Pro Processor Reference Manual, Rev. 1
iv Freescale Semiconductor
Figures
Figure
Number Title
Page
Number
Chapter 3
Memory Map
3.1 Internal Memory-Mapped Registers................................................................................ 3-1
3.2 Accessing IMMR Memory from the Local Processor..................................................... 3-1
3.3 IMMR Address Map........................................................................................................ 3-1
Chapter 4
Reset, Clocking, and Initialization
4.1 External Signals............................................................................................................... 4-1
4.1.1 Reset Signals................................................................................................................4-1
4.1.2 Clock Signals............................................................................................................... 4-2
4.2 Functional Description..................................................................................................... 4-3
4.2.1 Reset Operations.......................................................................................................... 4-3
4.2.2 Power-On Reset Flow.................................................................................................. 4-5
4.2.3 Hard Reset Flow .......................................................................................................... 4-6
4.3 Reset Configuration......................................................................................................... 4-7
4.3.1 Reset Configuration Signals ........................................................................................ 4-7
4.3.2 Reset Configuration Words.......................................................................................... 4-9
4.3.3 Loading the Reset Configuration Words ................................................................... 4-16
4.4 Clocking ........................................................................................................................ 4-22
4.4.1 System Clock Domains.............................................................................................. 4-23
4.4.2 USB Clocking............................................................................................................ 4-24
4.4.3 Ethernet Clocking...................................................................................................... 4-25
4.5 Memory Map/Register Definitions................................................................................ 4-25
4.5.1 Reset Configuration Register Descriptions................................................................ 4-25
4.5.2 Clock Configuration Registers................................................................................... 4-29
Chapter 5
System Configuration
5.1 Local Memory Map Overview and Example .................................................................. 5-1
5.1.1 Address Translation and Mapping............................................................................... 5-3
5.1.2 Window into Configuration Space............................................................................... 5-3
5.1.3 Local Access Windows................................................................................................ 5-4
5.1.4 Local Access Register Descriptions ............................................................................ 5-5
5.1.5 Precedence of Local Access Windows...................................................................... 5-13
5.1.6 Configuring Local Access Windows......................................................................... 5-13
5.1.7 Distinguishing Local Access Windows from Other Mapping Functions.................. 5-13
5.1.8 Outbound Address Translation and Mapping Windows............................................ 5-14
MPC8308 PowerQUICC II Pro Processor Reference Manual, Rev. 1
Freescale Semiconductor v
Figures
Figure
Number Title
Page
Number
5.1.9 Inbound Address Translation and Mapping Windows .............................................. 5-14
5.1.10 Internal Memory Map................................................................................................ 5-14
5.1.11 Accessing Internal Memory from External Masters.................................................. 5-15
5.2 System Configuration .................................................................................................... 5-15
5.2.1 System Configuration Register Memory Map........................................................... 5-15
5.2.2 System Configuration Registers ................................................................................ 5-16
5.3 Software Watchdog Timer (WDT)................................................................................. 5-32
5.3.1 WDT Overview.......................................................................................................... 5-32
5.3.2 WDT Features............................................................................................................ 5-33
5.3.3 WDT Modes of Operation......................................................................................... 5-33
5.3.4 WDT Memory Map/Register Definition ................................................................... 5-34
5.3.5 Functional Description............................................................................................... 5-37
5.3.6 Initialization/Application Information (WDT Programming Guidelines)................. 5-39
5.4 Real Time Clock (RTC) Module.................................................................................... 5-39
5.4.1 Overview.................................................................................................................... 5-39
5.4.2 Features...................................................................................................................... 5-40
5.4.3 Assumptions............................................................................................................... 5-40
5.4.4 Modes of operation.................................................................................................... 5-40
5.4.5 External Signal Description....................................................................................... 5-41
5.4.6 RTC Memory Map/Register Definition..................................................................... 5-41
5.4.7 Functional Description............................................................................................... 5-45
5.4.8 RTC Reset Sequence.................................................................................................. 5-47
5.4.9 RTC Initialization Sequence...................................................................................... 5-47
5.5 Periodic Interval Timer (PIT) ........................................................................................ 5-47
5.5.1 PIT Overview............................................................................................................. 5-47
5.5.2 PIT Features...............................................................................................................5-48
5.5.3 PIT Modes of Operation............................................................................................ 5-48
5.5.4 PIT External Signal Description................................................................................ 5-48
5.5.5 PIT Memory Map/Register Definition ...................................................................... 5-49
5.5.6 Functional Description............................................................................................... 5-52
5.5.7 PIT Programming Guidelines.................................................................................... 5-53
5.6 General-Purpose Timers (GTMs)................................................................................... 5-53
5.6.1 GTM Overview.......................................................................................................... 5-53
5.6.2 GTM Features ............................................................................................................ 5-54
5.6.3 GTM Modes of Operation..........................................................................................5-55
5.6.4 GTM External Signal Description ............................................................................. 5-56
5.6.5 GTM Memory Map/Register Definition.................................................................... 5-57
5.6.6 Functional Description............................................................................................... 5-66
5.6.7 Initialization/Application Information (Programming Guidelines for GTM Registers)....
5-69
5.7 Power Management Control (PMC).............................................................................. 5-69
MPC8308 PowerQUICC II Pro Processor Reference Manual, Rev. 1
vi Freescale Semiconductor
Figures
Figure
Number Title
Page
Number
5.7.1 External Signal Description....................................................................................... 5-70
5.7.2 PMC Memory Map/Register Definition.................................................................... 5-70
5.7.3 Functional Description............................................................................................... 5-71
Chapter 6
Arbiter and Bus Monitor
6.1 Overview..........................................................................................................................6-1
6.1.1 Coherent System Bus Overview.................................................................................. 6-1
6.2 Arbiter Memory Map/Register Definition....................................................................... 6-2
6.2.1 Arbiter Configuration Register (ACR)........................................................................ 6-3
6.2.2 Arbiter Timers Register (ATR).................................................................................... 6-4
6.2.3 Arbiter Event Enable Register (AEER)....................................................................... 6-5
6.2.4 Arbiter Event Register (AER)...................................................................................... 6-6
6.2.5 Arbiter Interrupt Definition Register (AIDR).............................................................. 6-7
6.2.6 Arbiter Mask Register (AMR)..................................................................................... 6-8
6.2.7 Arbiter Event Attributes Register (AEATR)................................................................ 6-9
6.2.8 Arbiter Event Address Register (AEADR)................................................................ 6-10
6.2.9 Arbiter Event Response Register (AERR)................................................................. 6-11
6.3 Functional Description................................................................................................... 6-12
6.3.1 Arbitration Policy ...................................................................................................... 6-12
6.3.2 Bus Error Detection................................................................................................... 6-15
6.4 Initialization/Applications Information ......................................................................... 6-18
6.4.1 Initialization Sequence............................................................................................... 6-18
6.4.2 Error Handling Sequence........................................................................................... 6-18
Chapter 7
e300 Processor Core Overview
7.1 Overview..........................................................................................................................7-1
7.1.1 Features........................................................................................................................ 7-3
7.1.2 Instruction Unit............................................................................................................ 7-6
7.1.3 Independent Execution Units....................................................................................... 7-7
7.1.4 Completion Unit .......................................................................................................... 7-8
7.1.5 Memory Subsystem Support........................................................................................ 7-8
7.1.6 Bus Interface Unit (BIU) ........................................................................................... 7-10
7.1.7 System Support Functions......................................................................................... 7-11
7.2 e300 Processor and System Version Numbers............................................................... 7-13
7.3 PowerPC Architecture Implementation......................................................................... 7-13
7.4 Implementation-Specific Information............................................................................ 7-14
7.4.1 Register Model........................................................................................................... 7-14
MPC8308 PowerQUICC II Pro Processor Reference Manual, Rev. 1
Freescale Semiconductor vii
Figures
Figure
Number Title
Page
Number
7.4.2 Instruction Set and Addressing Modes...................................................................... 7-26
7.4.3 Cache Implementation............................................................................................... 7-29
7.4.4 Interrupt Model.......................................................................................................... 7-31
7.4.5 Memory Management................................................................................................ 7-35
7.4.6 Instruction Timing .....................................................................................................7-36
7.4.7 Core Interface ............................................................................................................ 7-37
7.4.8 Debug Features ......................................................................................................... 7-39
7.5 Differences Between Cores........................................................................................... 7-40
Chapter 8
Integrated Programmable Interrupt Controller (IPIC)
8.1 Introduction...................................................................................................................... 8-1
8.2 Features............................................................................................................................ 8-4
8.3 Modes of Operation ......................................................................................................... 8-4
8.3.1 Core Enable Mode....................................................................................................... 8-4
8.3.2 Core Disable Mode...................................................................................................... 8-4
8.4 External Signal Description............................................................................................. 8-5
8.4.1 Overview...................................................................................................................... 8-5
8.4.2 Detailed Signal Descriptions ....................................................................................... 8-5
8.5 Memory Map/Register Definition ................................................................................... 8-6
8.5.1 System Global Interrupt Configuration Register (SICFR).......................................... 8-8
8.5.2 System Global Interrupt Vector Register (SIVCR)...................................................... 8-9
8.5.3 System Internal Interrupt Pending Registers (SIPNR_H and SIPNR_L).................. 8-12
8.5.4 System Internal Interrupt Group A Priority Register (SIPRR_A)............................. 8-14
8.5.5 System Internal Interrupt Group B Priority Register (SIPRR_B) ............................. 8-15
8.5.6 System Internal Interrupt Group C Priority Register (SIPRR_C) ............................. 8-16
8.5.7 System Internal Interrupt Group D Priority Register (SIPRR_D)............................. 8-16
8.5.8 System Internal Interrupt Mask Register (SIMSR_H and SIMSR_L)...................... 8-17
8.5.9 System Internal Interrupt Control Register (SICNR)................................................ 8-18
8.5.10 System External Interrupt Pending Register (SEPNR).............................................. 8-20
8.5.11 System Mixed Interrupt Group A Priority Register (SMPRR_A)............................. 8-21
8.5.12 System Mixed Interrupt Group B Priority Register (SMPRR_B)............................. 8-22
8.5.13 System External Interrupt Mask Register (SEMSR)................................................. 8-22
8.5.14 System External Interrupt Control Register (SECNR).............................................. 8-23
8.5.15 System Error Status Register (SERSR) ..................................................................... 8-25
8.5.16 System Error Mask Register (SERMR)..................................................................... 8-25
8.5.17 System Error Control Register (SERCR) .................................................................. 8-26
8.5.18 System External interrupt Polarity Control Register (SEPCR)................................. 8-26
8.5.19 System Internal Interrupt Force Registers (SIFCR_H and SIFCR_L)...................... 8-27
8.5.20 System External Interrupt Force Register (SEFCR).................................................. 8-29
MPC8308 PowerQUICC II Pro Processor Reference Manual, Rev. 1
viii Freescale Semiconductor
Figures
Figure
Number Title
Page
Number
8.5.21 System Error Force Register (SERFR)...................................................................... 8-29
8.5.22 System Critical Interrupt Vector Register (SCVCR)................................................. 8-30
8.5.23 System Management Interrupt Vector Register (SMVCR) ....................................... 8-30
8.6 Functional Description................................................................................................... 8-31
8.6.1 Interrupt Types........................................................................................................... 8-31
8.6.2 Interrupt Configuration.............................................................................................. 8-32
8.6.3 Internal Interrupts Group Relative Priority................................................................ 8-33
8.6.4 Mixed Interrupts Group Relative Priority.................................................................. 8-33
8.6.5 Highest Priority Interrupt........................................................................................... 8-34
8.6.6 Interrupt Source Priorities.......................................................................................... 8-34
8.6.7 Masking Interrupt Sources......................................................................................... 8-38
8.6.8 Interrupt Vector Generation and Calculation............................................................. 8-39
8.6.9 Machine Check Interrupts.......................................................................................... 8-39
8.7 Message Shared Interrupts............................................................................................. 8-40
8.7.1 Memory Map/Register Definition .............................................................................8-40
8.7.2 Message Shared Registers ......................................................................................... 8-40
Chapter 9
DDR Memory Controller
9.1 Introduction...................................................................................................................... 9-1
9.2 Features............................................................................................................................ 9-2
9.2.1 Modes of Operation..................................................................................................... 9-3
9.3 External Signal Descriptions ........................................................................................... 9-3
9.3.1 Signals Overview......................................................................................................... 9-3
9.3.2 Detailed Signal Descriptions ....................................................................................... 9-6
9.4 Memory Map/Register Definition ................................................................................... 9-9
9.4.1 Register Descriptions................................................................................................. 9-10
9.5 Functional Description................................................................................................... 9-38
9.5.1 DDR SDRAM Interface Operation............................................................................ 9-42
9.5.2 DDR SDRAM Address Multiplexing........................................................................ 9-43
9.5.3 JEDEC Standard DDR SDRAM Interface Commands............................................. 9-45
9.5.4 DDR SDRAM Interface Timing................................................................................ 9-47
9.5.5 DDR SDRAM Mode-Set Command Timing............................................................. 9-51
9.5.6 DDR SDRAM Registered DIMM Mode................................................................... 9-51
9.5.7 DDR SDRAM Write Timing Adjustments................................................................ 9-52
9.5.8 DDR SDRAM Refresh .............................................................................................. 9-53
9.5.9 DDR Data Beat Ordering........................................................................................... 9-56
9.5.10 Page Mode and Logical Bank Retention ................................................................... 9-57
9.5.11 Error Checking and Correcting (ECC) ...................................................................... 9-58
9.5.12 Error Management..................................................................................................... 9-60
MPC8308 PowerQUICC II Pro Processor Reference Manual, Rev. 1
Freescale Semiconductor ix
Figures
Figure
Number Title
Page
Number
9.6 Initialization/Application Information........................................................................... 9-60
9.6.1 DDR SDRAM Initialization Sequence...................................................................... 9-62
Chapter 10
Enhanced Local Bus Controller
10.1 Introduction.................................................................................................................... 10-1
10.1.1 Overview.................................................................................................................... 10-2
10.1.2 Features...................................................................................................................... 10-2
10.1.3 Modes of Operation................................................................................................... 10-3
10.2 External Signal Descriptions ......................................................................................... 10-4
10.3 Memory Map/Register Definition ................................................................................. 10-7
10.3.1 Register Descriptions................................................................................................. 10-9
10.4 Functional Description................................................................................................. 10-39
10.4.1 Basic Architecture.................................................................................................... 10-40
10.4.2 General-Purpose Chip-Select Machine (GPCM)..................................................... 10-42
10.4.3 Flash Control Machine (FCM) ................................................................................ 10-53
10.4.4 User-Programmable Machines (UPMs)................................................................... 10-68
10.5 Initialization/Application Information......................................................................... 10-84
10.5.1 Interfacing to Peripherals in Different Address Modes........................................... 10-84
10.5.2 Interface to Different Port-Size Devices.................................................................. 10-85
10.5.3 Command Sequence Examples for NAND Flash EEPROM................................... 10-86
10.5.4 Interfacing to Fast-Page Mode DRAM Using UPM ............................................... 10-90
10.5.5 Interfacing to ZBT SRAM Using UPM................................................................. 10-100
Chapter 11
Enhanced Secure Digital Host Controller
11.1 Overview........................................................................................................................ 11-1
11.2 Features.......................................................................................................................... 11-3
11.2.1 Data Transfer Modes.................................................................................................. 11-4
11.3 External Signal Description........................................................................................... 11-4
11.4 Memory Map/Register Definition ................................................................................. 11-5
11.4.1 DMA System Address Register (DSADDR)............................................................. 11-7
11.4.2 Block Attributes Register (BLKATTR)..................................................................... 11-7
11.4.3 Command Argument Register (CMDARG).............................................................. 11-8
11.4.4 Transfer Type Register (XFERTYP).......................................................................... 11-9
11.4.5 Command Response 0–3 (CMDRSP0–3)................................................................ 11-12
11.4.6 Buffer Data Port Register (DATPORT)................................................................... 11-14
11.4.7 Present State Register (PRSSTAT) .......................................................................... 11-15
11.4.8 Protocol Control Register (PROCTL) ..................................................................... 11-19
MPC8308 PowerQUICC II Pro Processor Reference Manual, Rev. 1
x Freescale Semiconductor
Figures
Figure
Number Title
Page
Number
11.4.9 System Control Register (SYSCTL)........................................................................ 11-22
11.4.10 Interrupt Status Register (IRQSTAT)....................................................................... 11-24
11.4.11 Interrupt Status Enable Register (IRQSTATEN)..................................................... 11-28
11.4.12 Interrupt Signal Enable Register (IRQSIGEN) ....................................................... 11-31
11.4.13 Auto CMD12 Error Status Register (AUTOC12ERR)............................................ 11-33
11.4.14 Host Controller Capabilities (HOSTCAPBLT) ....................................................... 11-35
11.4.15 Watermark Level Register (WML).......................................................................... 11-36
11.4.16 Force Event Register (FEVT).................................................................................. 11-36
11.4.17 Host Controller Version Register (HOSTVER)....................................................... 11-38
11.4.18 DMA Control Register (DCR)................................................................................. 11-38
11.5 Functional Description................................................................................................. 11-38
11.5.1 Data Buffer .............................................................................................................. 11-39
11.5.2 DMA CSB Interface ................................................................................................ 11-41
11.5.3 SD Protocol Unit...................................................................................................... 11-42
11.5.4 Clock & Reset Manager........................................................................................... 11-44
11.5.5 Clock Generator....................................................................................................... 11-44
11.5.6 SDIO Card Interrupt ................................................................................................ 11-44
11.5.7 Card Insertion and Removal Detection.................................................................... 11-46
11.5.8 Power Management ................................................................................................. 11-46
11.6 Initialization/Application Information......................................................................... 11-47
11.6.1 Command Send and Response Receive Basic Operation........................................ 11-47
11.6.2 Card Identification Mode......................................................................................... 11-48
11.6.3 Card Access ............................................................................................................. 11-52
11.6.4 Switch Function....................................................................................................... 11-57
11.6.5 Commands for MMC/SD/SDIO.............................................................................. 11-60
11.7 Software Restrictions................................................................................................... 11-65
11.7.1 Initialization Active ................................................................................................. 11-65
11.7.2 Software Polling Procedure..................................................................................... 11-65
11.7.3 Suspend Operation................................................................................................... 11-65
11.7.4 Data Port Access...................................................................................................... 11-65
11.7.5 Multi-block Read..................................................................................................... 11-65
Chapter 12
DMA Controller (DMAC)
12.1 Overview........................................................................................................................12-1
12.1.1 Features...................................................................................................................... 12-2
12.2 DMAC Memory Map/Register Definition .................................................................... 12-2
12.2.1 DMA Control Register (DMACR)............................................................................ 12-3
12.3 DMA Error Status (DMAES) ........................................................................................ 12-6
12.3.1 DMA Enable Error Interrupt Register (DMAEEI).................................................... 12-8
MPC8308 PowerQUICC II Pro Processor Reference Manual, Rev. 1
Freescale Semiconductor xi
Figures
Figure
Number Title
Page
Number
12.3.2 DMA Set Enable Error Interrupt (DMASEEI).......................................................... 12-9
12.3.3 DMA Clear Enable Error Interrupt (DMACEEI)...................................................... 12-9
12.3.4 DMA Clear Interrupt Request (DMACINT)........................................................... 12-10
12.3.5 DMA Clear Error (DMACERR).............................................................................. 12-11
12.3.6 DMA Set START Bit (DMASSRT)......................................................................... 12-11
12.3.7 DMA Clear DONE Status (DMACDNE)................................................................ 12-12
12.3.8 DMA Interrupt Request Register (DMAINT)......................................................... 12-12
12.3.9 DMA Error Register (DMAERR)............................................................................ 12-13
12.3.10 DMA General Purpose Output Register (DMAGPOR) .......................................... 12-14
12.3.11 DMA Channel n Priority (DCHPRIn), n = 0–15..................................................... 12-15
12.3.12 Transfer Control Descriptor (TCD)......................................................................... 12-16
12.4 Functional Description................................................................................................. 12-24
12.4.1 DMA Microarchitecture ..........................................................................................12-24
12.4.2 DMA Basic Data Flow ............................................................................................12-25
12.5 Initialization/Application Information......................................................................... 12-28
12.5.1 DMA Initialization................................................................................................... 12-28
12.5.2 DMA Programming Errors...................................................................................... 12-29
12.6 DMA Transfer.............................................................................................................. 12-29
12.6.1 Single Request ......................................................................................................... 12-29
12.6.2 Multiple Requests.................................................................................................... 12-30
12.7 TCD Status................................................................................................................... 12-32
12.7.1 Minor Loop Complete ............................................................................................. 12-32
12.7.2 Active Channel TCD Reads..................................................................................... 12-32
12.7.3 Preemption status..................................................................................................... 12-32
12.8 Channel Linking .......................................................................................................... 12-33
12.9 Programming during channel execution...................................................................... 12-33
12.9.1 Dynamic priority changing...................................................................................... 12-33
12.9.2 Dynamic channel linking and dynamic scatter/gather............................................. 12-34
Chapter 13
Universal Serial Bus Interface
13.1 Introduction.................................................................................................................... 13-1
13.1.1 Overview.................................................................................................................... 13-2
13.1.2 Features...................................................................................................................... 13-2
13.1.3 Modes of Operation................................................................................................... 13-2
13.2 External Signals............................................................................................................. 13-3
13.2.1 ULPI Interface ........................................................................................................... 13-3
13.3 Memory Map/Register Definitions................................................................................ 13-4
13.3.1 Capability Registers................................................................................................... 13-6
13.3.2 Operational Registers............................................................................................... 13-10
MPC8308 PowerQUICC II Pro Processor Reference Manual, Rev. 1
xii Freescale Semiconductor
Figures
Figure
Number Title
Page
Number
13.4 Functional Description................................................................................................. 13-44
13.4.1 System Interface ...................................................................................................... 13-44
13.4.2 DMA Engine............................................................................................................ 13-45
13.4.3 FIFO RAM Controller............................................................................................. 13-45
13.4.4 PHY Interface.......................................................................................................... 13-45
13.5 Host Data Structures.................................................................................................... 13-45
13.5.1 Periodic Frame List.................................................................................................. 13-46
13.5.2 Asynchronous List Queue Head Pointer.................................................................. 13-47
13.5.3 Isochronous (High-Speed) Transfer Descriptor (iTD).............................................13-48
13.5.4 Split Transaction Isochronous Transfer Descriptor (siTD)...................................... 13-52
13.5.5 Queue Element Transfer Descriptor (qTD) ............................................................. 13-56
13.5.6 Queue Head.............................................................................................................. 13-62
13.5.7 Periodic Frame Span Traversal Node (FSTN)......................................................... 13-66
13.6 Host Operations ........................................................................................................... 13-68
13.6.1 Host Controller Initialization................................................................................... 13-68
13.6.2 Power Port................................................................................................................ 13-69
13.6.3 Reporting Over-Current........................................................................................... 13-69
13.6.4 Suspend/Resume...................................................................................................... 13-69
13.6.5 Schedule Traversal Rules......................................................................................... 13-72
13.6.6 Periodic Schedule Frame Boundaries vs. Bus Frame Boundaries........................... 13-73
13.6.7 Periodic Schedule .................................................................................................... 13-75
13.6.8 Managing Isochronous Transfers Using iTDs......................................................... 13-76
13.6.9 Asynchronous Schedule........................................................................................... 13-81
13.6.10 Managing Control/Bulk/Interrupt Transfers via Queue Heads................................ 13-85
13.6.11 Ping Control............................................................................................................. 13-89
13.6.12 Split Transactions..................................................................................................... 13-90
13.6.13 Port Test Modes ..................................................................................................... 13-118
13.6.14 Interrupts................................................................................................................ 13-119
13.7 Device Data Structures .............................................................................................. 13-123
13.7.1 Endpoint Queue Head............................................................................................ 13-124
13.7.2 Endpoint Transfer Descriptor (dTD) .....................................................................13-127
13.8 Device Operational Model......................................................................................... 13-129
13.8.1 Device Controller Initialization............................................................................. 13-129
13.8.2 Port State and Control............................................................................................ 13-130
13.8.3 Managing Endpoints.............................................................................................. 13-133
13.8.4 Managing Queue Heads......................................................................................... 13-143
13.8.5 Managing Transfers with Transfer Descriptors ..................................................... 13-145
13.8.6 Servicing Interrupts................................................................................................ 13-148
13.9 Deviations from the EHCI Specifications ................................................................. 13-149
13.9.1 Embedded Transaction Translator Function.......................................................... 13-150
13.9.2 Device Operation................................................................................................... 13-153
MPC8308 PowerQUICC II Pro Processor Reference Manual, Rev. 1
Freescale Semiconductor xiii
Figures
Figure
Number Title
Page
Number
13.9.3 Non-Zero Fields the Register File ......................................................................... 13-154
13.9.4 SOF Interrupt......................................................................................................... 13-154
13.9.5 Embedded Design.................................................................................................. 13-154
13.9.6 Miscellaneous Variations from EHCI.................................................................... 13-154
13.10 Timing Diagrams ....................................................................................................... 13-156
Chapter 14
PCI Express Interface Controller
14.1 Introduction.................................................................................................................... 14-1
14.1.1 MPC8308 as a PCI Express Initiator......................................................................... 14-3
14.1.2 MPC8308 as a PCI Express Target............................................................................ 14-3
14.1.3 Features...................................................................................................................... 14-4
14.1.4 Modes of Operation................................................................................................... 14-4
14.2 External Signal Descriptions ......................................................................................... 14-5
14.3 Memory Map/Register Definitions................................................................................ 14-5
14.3.1 PCI Express Memory Map ........................................................................................ 14-5
14.4 PCI Express Core Configuration Header Registers..................................................... 14-14
14.4.1 Common PCI Express-Compatible Configuration Header Registers...................... 14-14
14.4.2 Type 0 PCI Express-Compatible Configuration Header Registers.......................... 14-21
14.4.3 Type 1 PCI-Compatible Configuration Header Registers ....................................... 14-27
14.4.4 PCI Express-Compatible Device-Specific Configuration Space Registers............. 14-36
14.4.5 PCI Express Extended Configuration Space ........................................................... 14-52
14.4.6 PCI Express Controller Internal Control and Status Registers (CSRs) ................... 14-62
14.4.7 PCI Express BAR Configuration Registers (EP Mode) .......................................... 14-72
14.4.8 PCI Express Extended Status and Control Registers............................................... 14-74
14.5 PCI Express CSB Bridge............................................................................................. 14-76
14.5.1 PCI Express CSB Bridge Configuration Space....................................................... 14-77
14.5.2 Global Registers....................................................................................................... 14-77
14.5.3 PCI Express Outbound PIO Registers..................................................................... 14-80
14.5.4 PCI Express Inbound PIO Registers........................................................................ 14-82
14.5.5 DMA Registers........................................................................................................ 14-83
14.5.6 Mailbox Registers.................................................................................................... 14-88
14.5.7 PCI Express Host Interrupt Registers...................................................................... 14-90
14.5.8 CSB System Interrupt Registers.............................................................................. 14-94
14.5.9 PCI Express Power Management Registers........................................................... 14-103
14.5.10 PCI Express Outbound Address Mapping Registers............................................. 14-104
14.5.11 PCI Express EP Inbound Address Translation Registers ...................................... 14-107
14.5.12 PCI Express RC Inbound Address Mapping Registers ......................................... 14-108
14.6 Functional Description................................................................................................14-111
14.6.1 Architecture ........................................................................................................... 14-112
MPC8308 PowerQUICC II Pro Processor Reference Manual, Rev. 1
xiv Freescale Semiconductor
Figures
Figure
Number Title
Page
Number
14.6.2 Interrupts............................................................................................................... 14-122
14.6.3 Mailbox.................................................................................................................. 14-124
14.6.4 Power Management ............................................................................................... 14-126
14.6.5 Hot Reset................................................................................................................ 14-127
14.7 Initialization/Application Information....................................................................... 14-127
14.7.1 Initialization Sequence........................................................................................... 14-127
14.8 DMA Functional Operation....................................................................................... 14-128
14.8.1 DMA Descriptor Format........................................................................................ 14-128
14.8.2 Write DMA............................................................................................................ 14-130
14.8.3 Read DMA............................................................................................................. 14-131
14.8.4 Descriptor-Based DMA......................................................................................... 14-132
Chapter 15
SerDes PHY
15.1 Introduction.................................................................................................................... 15-1
15.1.1 Overview.................................................................................................................... 15-1
15.1.2 Features...................................................................................................................... 15-1
15.1.3 Mode of Operation..................................................................................................... 15-2
15.1.4 Clock.......................................................................................................................... 15-2
15.2 External Signals............................................................................................................. 15-2
15.3 Memory Map/Registers ................................................................................................. 15-3
15.3.1 SerDes Control Register 0 (SRDSCR0) .................................................................... 15-4
15.3.2 SerDes Control Register 1 (SRDSCR1) .................................................................... 15-6
15.3.3 SerDes Control Register 2 (SRDSCR2) .................................................................... 15-7
15.3.4 SerDes Control Register 3 (SRDSCR3) .................................................................... 15-8
15.3.5 SerDes Control Register 4 (SRDSCR4) .................................................................... 15-9
15.3.6 SerDesn Reset Control Register (SRDSRSTCTL).................................................. 15-10
15.4 Initialization Sequence and Reset................................................................................ 15-10
15.5 Power Management: Power Down .............................................................................. 15-11
Chapter 16
Enhanced Three-Speed Ethernet Controllers
16.1 Overview........................................................................................................................16-1
16.2 Features.......................................................................................................................... 16-2
16.3 Modes of Operation ....................................................................................................... 16-4
16.4 External Signals Description ......................................................................................... 16-5
16.4.1 Detailed Signal Descriptions ..................................................................................... 16-7
16.5 Memory Map/Register Definition ................................................................................. 16-9
16.5.1 Top-Level Module Memory Map ............................................................................ 16-10
MPC8308 PowerQUICC II Pro Processor Reference Manual, Rev. 1
Freescale Semiconductor xv
Figures
Figure
Number Title
Page
Number
16.5.2 Detailed Memory Map............................................................................................. 16-10
16.5.3 Memory-Mapped Register Descriptions.................................................................. 16-21
16.6 Functional Description............................................................................................... 16-120
16.6.1 Connecting to Physical Interfaces on Ethernet...................................................... 16-121
16.6.2 Gigabit Ethernet Controller Channel Operation.................................................... 16-124
16.6.3 TCP/IP Off-Load ................................................................................................... 16-139
16.6.4 Quality of Service (QoS) Provision....................................................................... 16-144
16.6.5 Lossless Flow Control ........................................................................................... 16-154
16.6.6 Hardware Assist for IEEE Std. 1588 Compliant Timestamping ........................... 16-157
16.6.7 Buffer Descriptors.................................................................................................. 16-164
16.7 Initialization/Application Information....................................................................... 16-171
16.7.1 Interface Mode Configuration ............................................................................... 16-172
16.7.2 MAC: Half-Duplex Collision on FCS of Short Frame.......................................... 16-178
Chapter 17
I
2
C Interface
17.1 Introduction.................................................................................................................... 17-1
17.1.1 Features...................................................................................................................... 17-2
17.1.2 Modes of Operation................................................................................................... 17-2
17.2 External Signal Descriptions ......................................................................................... 17-3
17.2.1 Signal Overview ........................................................................................................ 17-3
17.2.2 Detailed Signal Descriptions ..................................................................................... 17-3
17.3 Memory Map/Register Definition ................................................................................. 17-4
17.3.1 Register Descriptions................................................................................................. 17-5
17.4 Functional Description................................................................................................. 17-10
17.4.1 Transaction Protocol................................................................................................ 17-10
17.4.2 Arbitration Procedure .............................................................................................. 17-14
17.4.3 Handshaking ............................................................................................................ 17-15
17.4.4 Clock Control........................................................................................................... 17-15
17.4.5 Boot Sequencer Mode.............................................................................................. 17-16
17.5 Initialization/Application Information......................................................................... 17-21
17.5.1 Interrupt Service Routine Flowchart........................................................................ 17-21
17.5.2 Initialization Sequence............................................................................................. 17-23
17.5.3 Generation of START.............................................................................................. 17-23
17.5.4 Post-Transfer Software Response............................................................................ 17-23
17.5.5 Generation of STOP................................................................................................. 17-24
17.5.6 Generation of Repeated START .............................................................................. 17-24
17.5.7 Generation of SCL When SDA is Negated ............................................................. 17-24
17.5.8 Slave Mode Interrupt Service Routine..................................................................... 17-24
MPC8308 PowerQUICC II Pro Processor Reference Manual, Rev. 1
xvi Freescale Semiconductor
Figures
Figure
Number Title
Page
Number
Chapter 18
DUART
18.1 Overview........................................................................................................................18-1
18.1.1 Features...................................................................................................................... 18-2
18.1.2 Modes of Operation................................................................................................... 18-2
18.2 External Signal Descriptions ......................................................................................... 18-3
18.2.1 Signal Overview ........................................................................................................ 18-3
18.2.2 Detailed Signal Descriptions ..................................................................................... 18-3
18.3 Memory Map/Register Definition ................................................................................. 18-3
18.3.1 Register Descriptions................................................................................................. 18-5
18.4 Functional Description................................................................................................. 18-16
18.4.1 Serial Interface......................................................................................................... 18-17
18.4.2 Baud-Rate Generator Logic..................................................................................... 18-18
18.4.3 Local Loopback Mode............................................................................................. 18-19
18.4.4 Errors ....................................................................................................................... 18-19
18.4.5 FIFO Mode .............................................................................................................. 18-19
18.5 DUART Initialization/Application Information .......................................................... 18-21
Chapter 19
Serial Peripheral Interface
19.1 Overview........................................................................................................................19-1
19.1.1 Features...................................................................................................................... 19-2
19.1.2 SPI Transmission and Reception Process.................................................................. 19-2
19.1.3 Modes of Operation................................................................................................... 19-3
19.2 External Signal Descriptions ......................................................................................... 19-6
19.2.1 Overview.................................................................................................................... 19-6
19.2.2 Detailed Signal Descriptions ..................................................................................... 19-6
19.3 Memory Map/Register Definition ................................................................................. 19-7
19.3.1 Register Descriptions................................................................................................. 19-8
19.4 Initialization/Application Information......................................................................... 19-15
19.4.1 SPI Master Programming Example ......................................................................... 19-15
19.4.2 SPI Slave Programming Example............................................................................ 19-15
Chapter 20
JTAG/Testing Support
20.1 Overview........................................................................................................................20-1
20.2 JTAG Signals ................................................................................................................. 20-1
20.2.1 External Signal Descriptions ..................................................................................... 20-2
MPC8308 PowerQUICC II Pro Processor Reference Manual, Rev. 1
Freescale Semiconductor xvii
Figures
Figure
Number Title
Page
Number
20.3 JTAG Registers and Scan Chains .................................................................................. 20-3
Chapter 21
General Purpose I/O (GPIO)
21.1 Introduction.................................................................................................................... 21-1
21.1.1 Overview.................................................................................................................... 21-1
21.1.2 Features...................................................................................................................... 21-1
21.2 External Signal Description........................................................................................... 21-2
21.2.1 Signals Overview....................................................................................................... 21-2
21.3 Memory Map/Register Definition ................................................................................. 21-2
21.3.1 GPIO Direction Register (GPDIR)............................................................................ 21-3
21.3.2 GPIO Open Drain Register (GPODR)....................................................................... 21-3
21.3.3 GPIO Data Register (GPDAT)................................................................................... 21-4
21.3.4 GPIO Interrupt Event Register (GPIER)................................................................... 21-4
21.3.5 GPIO Interrupt Mask Register (GPIMR)................................................................... 21-4
21.3.6 GPIO Interrupt Control Register (GPICR)................................................................ 21-5
Appendix A
Complete List of Configuration, Control, and Status Registers
A.1 Local Access Windows................................................................................................... A-1
A.2 System Configuration Registers ..................................................................................... A-2
A.3 Watchdog Timer (WDT)................................................................................................. A-3
A.4 Real Time Clock (RTC).................................................................................................. A-3
A.5 Periodic Interval Timer (PIT) ......................................................................................... A-3
A.6 General Purpose (Global) Timers (GTMs) ..................................................................... A-4
A.7 Integrated Programmable Interrupt Controller (IPIC).................................................... A-5
A.8 System Arbiter................................................................................................................ A-6
A.9 Reset Configuration........................................................................................................ A-6
A.10 Clock Configuration ....................................................................................................... A-7
A.11 Power Management Controller (PMC)........................................................................... A-7
A.12 General Purpose I/O (GPIO)........................................................................................... A-8
A.13 DDR Memory Controller................................................................................................ A-8
A.14 I
2
C Controller ................................................................................................................. A-9
A.15 DUART......................................................................................................................... A-10
A.16 Enhanced Local Bus Controller (eLBC)........................................................................A-11
A.17 Serial Peripheral Interface (SPI)................................................................................... A-12
A.18 DMA Controller............................................................................................................ A-13
A.19 PCI Express Controller................................................................................................. A-14
A.20 Enhanced Three-Speed Ethernet Controllers (eTSECs)............................................... A-21
MPC8308 PowerQUICC II Pro Processor Reference Manual, Rev. 1
xviii Freescale Semiconductor
Figures
Figure
Number Title
Page
Number
A.21 SerDes PHY..................................................................................................................A-31
A.22 Enhanced Secure Digital Host Controller (eSDHC)..................................................... A-32
A.23 Universal Serial Bus (USB) Interface........................................................................... A-32
Appendix B
Revision History
MPC8308 PowerQUICC II Pro Processor Reference Manual, Rev. 1
Freescale Semiconductor xix
Figures
Figure
Number Title
Page
Number
Figures
1-1 MPC8308 Block Diagram....................................................................................................... 1-1
1-2 MPC8308 Integrated e300c3 Core Block Diagram................................................................ 1-9
1-3 USB Controllers Port Configuration..................................................................................... 1-12
2-1 MPC8308 Signal Groupings (1 of 2)...................................................................................... 2-2
2-2 MPC8308 Signal Groupings (2 of 2)...................................................................................... 2-3
4-1 Power-On Reset Flow............................................................................................................. 4-6
4-2 Hard Reset Flow...................................................................................................................... 4-7
4-3 Reset Configuration Word Low Register (RCWLR)............................................................ 4-10
4-4 Reset Configuration Word High Register (RCWHR)........................................................... 4-12
4-5 EEPROM Data Format for Reset Configuration Words Preload Command........................ 4-19
4-6 EEPROM Contents............................................................................................................... 4-20
4-7 Clock Subsystem Block Diagram ......................................................................................... 4-23
4-8 Reset Status Register (RSR)..................................................................................................4-26
4-9 Reset Mode Register (RMR)................................................................................................. 4-27
4-10 Reset Protection Register (RPR)........................................................................................... 4-28
4-11 Reset Control Register (RCR)............................................................................................... 4-28
4-12 Reset Control Enable Register (RCER)................................................................................ 4-29
4-13 System PLL Mode Register.................................................................................................. 4-30
4-14 Output Clock Control Register (OCCR)............................................................................... 4-31
4-15 System Clock Control Register (SCCR)............................................................................... 4-32
5-1 Local Memory Map Example ................................................................................................. 5-2
5-2 Internal Memory Map Registers’ Base Address Register (IMMRBAR)................................ 5-6
5-3 Alternate Configuration Base Address Register (ALTCBAR)............................................... 5-7
5-4 LBC Local Access Window n Base Address Registers (LBLAWBAR0–LBLAWBAR3) .... 5-7
5-5 LBC Local Access Window n Attributes Registers (LBLAWAR0–LBLAWAR3) ................ 5-8
5-6 PCI Express 1 Local Access Window Base Address Register (PCIEXP1LAWBAR)........... 5-9
5-7 PCI Express 1 Local Access Window Attributes Register (PCIEXP1LAWAR).................. 5-10
5-8 DDR Local Access Window n Base Address Registers (DDRLAWBAR0–DDRLAWBAR1)...
5-11
5-9 DDR Local Access Window n Attributes Registers (DDRLAWAR0–DDRLAWAR1)....... 5-12
5-10 System General Purpose Register Low (SGPRL)................................................................. 5-16
5-11 System General Purpose Register High (SGPRH) ............................................................... 5-16
5-12 System Part and Revision ID Register (SPRIDR) ................................................................ 5-17
5-13 System Priority Configuration Register (SPCR) .................................................................. 5-18
5-14 System I/O Configuration Register Low (SICRL) ............................................................... 5-20
5-15 System I/O Configuration Register High (SICRH) .............................................................. 5-22
5-16 DDR Control Driver Register (DDRCDR)........................................................................... 5-27
5-17 DDR Debug Status Register (DDRDSR).............................................................................. 5-28
MPC8308 PowerQUICC II Pro Processor Reference Manual, Rev. 1
xx Freescale Semiconductor
Figures
Figure
Number Title
Page
Number
5-18 PCI Express Controller Registers (PECR1).......................................................................... 5-29
5-19 eSDHC Control Register (SDHCCR)................................................................................... 5-30
5-20 RTC Control Register (RTCCR)........................................................................................... 5-32
5-21 Software Watchdog Timer High-Level Block Diagram ....................................................... 5-33
5-22 System Watchdog Control Register (SWCRR)..................................................................... 5-34
5-23 System Watchdog Count Register (SWCNR)....................................................................... 5-35
5-24 System Watchdog Service Register (SWSRR)..................................................................... 5-36
5-25 Software Watchdog Timer Service State Diagram................................................................ 5-37
5-26 Software Watchdog Timer Functional Block Diagram......................................................... 5-38
5-27 RTC Block Diagram.............................................................................................................. 5-40
5-28 Real Time Counter Control Register (RTCNR).................................................................... 5-42
5-29 Real Time Counter Load Register (RTLDR)........................................................................ 5-43
5-30 Real Time Counter Prescale Register (RTPSR).................................................................... 5-43
5-31 Real Time Counter Register (RTCTR).................................................................................. 5-44
5-32 Real Time Counter Event Register (RTEVR)....................................................................... 5-44
5-33 Real Time Counter Alarm Register (RTALR) ...................................................................... 5-45
5-34 Real Time Clock Module Functional Block Diagram .......................................................... 5-46
5-35 Periodic Interval Timer High Level Block Diagram............................................................. 5-48
5-36 Periodic Interval Timer Control Register (PTCNR) ............................................................. 5-49
5-37 Periodic Interval Timer Load Register (PTLDR)..................................................................5-50
5-38 Periodic Interval Timer Prescale Register (PTPSR) ............................................................. 5-50
5-39 Periodic Interval Timer Counter Register (PTCTR)............................................................. 5-51
5-40 Periodic Interval Timer Event Register (PTEVR)................................................................. 5-51
5-41 Periodic Interval Timer Functional Block Diagram.............................................................. 5-52
5-42 Global Timers Block Diagram.............................................................................................. 5-54
5-43 Global Timers Configuration Register 1 (GTCFR1)............................................................. 5-59
5-44 Global Timers Configuration Register 2 (GTCFR2)............................................................. 5-60
5-45 Global Timers Mode Registers (GTMDR1–GTMDR4)........................................................ 5-62
5-46 Global Timers Reference Registers (GTRFR1–GTRFR4).................................................... 5-63
5-47 Global Timers Capture Registers (GTCPR1–GTCPR4) ....................................................... 5-63
5-48 Global Timers Counter Registers (GTCNR1—GTCNR4).................................................... 5-64
5-49 Global Timers Event Registers (GTEVR1—GTEVR4)........................................................ 5-64
5-50 Global Timers Prescale Registers (GTPSR1–GTPSR4)........................................................ 5-65
5-51 Timers Non-Cascaded Mode Block Diagram....................................................................... 5-67
5-52 Timer Pair-Cascaded Mode Block Diagram......................................................................... 5-68
5-53 Timers Super-Cascaded Mode Block Diagram..................................................................... 5-68
5-54 Power Management Controller Configuration Register ....................................................... 5-70
6-1 Arbiter Configuration Register (ACR) ................................................................................... 6-3
6-2 Arbiter Timers Register (ATR) ...............................................................................................6-4
6-3 Arbiter Event Enable Register (AEER) .................................................................................. 6-5
6-4 Arbiter Event Register (AER).................................................................................................6-6
  • Page 1 1
  • Page 2 2
  • Page 3 3
  • Page 4 4
  • Page 5 5
  • Page 6 6
  • Page 7 7
  • Page 8 8
  • Page 9 9
  • Page 10 10
  • Page 11 11
  • Page 12 12
  • Page 13 13
  • Page 14 14
  • Page 15 15
  • Page 16 16
  • Page 17 17
  • Page 18 18
  • Page 19 19
  • Page 20 20
  • Page 21 21
  • Page 22 22
  • Page 23 23
  • Page 24 24
  • Page 25 25
  • Page 26 26
  • Page 27 27
  • Page 28 28
  • Page 29 29
  • Page 30 30
  • Page 31 31
  • Page 32 32
  • Page 33 33
  • Page 34 34
  • Page 35 35
  • Page 36 36
  • Page 37 37
  • Page 38 38
  • Page 39 39
  • Page 40 40
  • Page 41 41
  • Page 42 42
  • Page 43 43
  • Page 44 44
  • Page 45 45
  • Page 46 46
  • Page 47 47
  • Page 48 48
  • Page 49 49
  • Page 50 50
  • Page 51 51
  • Page 52 52
  • Page 53 53
  • Page 54 54
  • Page 55 55
  • Page 56 56
  • Page 57 57
  • Page 58 58
  • Page 59 59
  • Page 60 60
  • Page 61 61
  • Page 62 62
  • Page 63 63
  • Page 64 64
  • Page 65 65
  • Page 66 66
  • Page 67 67
  • Page 68 68
  • Page 69 69
  • Page 70 70
  • Page 71 71
  • Page 72 72
  • Page 73 73
  • Page 74 74
  • Page 75 75
  • Page 76 76
  • Page 77 77
  • Page 78 78
  • Page 79 79
  • Page 80 80
  • Page 81 81
  • Page 82 82
  • Page 83 83
  • Page 84 84
  • Page 85 85
  • Page 86 86
  • Page 87 87
  • Page 88 88
  • Page 89 89
  • Page 90 90
  • Page 91 91
  • Page 92 92
  • Page 93 93
  • Page 94 94
  • Page 95 95
  • Page 96 96
  • Page 97 97
  • Page 98 98
  • Page 99 99
  • Page 100 100
  • Page 101 101
  • Page 102 102
  • Page 103 103
  • Page 104 104
  • Page 105 105
  • Page 106 106
  • Page 107 107
  • Page 108 108
  • Page 109 109
  • Page 110 110
  • Page 111 111
  • Page 112 112
  • Page 113 113
  • Page 114 114
  • Page 115 115
  • Page 116 116
  • Page 117 117
  • Page 118 118
  • Page 119 119
  • Page 120 120
  • Page 121 121
  • Page 122 122
  • Page 123 123
  • Page 124 124
  • Page 125 125
  • Page 126 126
  • Page 127 127
  • Page 128 128
  • Page 129 129
  • Page 130 130
  • Page 131 131
  • Page 132 132
  • Page 133 133
  • Page 134 134
  • Page 135 135
  • Page 136 136
  • Page 137 137
  • Page 138 138
  • Page 139 139
  • Page 140 140
  • Page 141 141
  • Page 142 142
  • Page 143 143
  • Page 144 144
  • Page 145 145
  • Page 146 146
  • Page 147 147
  • Page 148 148
  • Page 149 149
  • Page 150 150
  • Page 151 151
  • Page 152 152
  • Page 153 153
  • Page 154 154
  • Page 155 155
  • Page 156 156
  • Page 157 157
  • Page 158 158
  • Page 159 159
  • Page 160 160
  • Page 161 161
  • Page 162 162
  • Page 163 163
  • Page 164 164
  • Page 165 165
  • Page 166 166
  • Page 167 167
  • Page 168 168
  • Page 169 169
  • Page 170 170
  • Page 171 171
  • Page 172 172
  • Page 173 173
  • Page 174 174
  • Page 175 175
  • Page 176 176
  • Page 177 177
  • Page 178 178
  • Page 179 179
  • Page 180 180
  • Page 181 181
  • Page 182 182
  • Page 183 183
  • Page 184 184
  • Page 185 185
  • Page 186 186
  • Page 187 187
  • Page 188 188
  • Page 189 189
  • Page 190 190
  • Page 191 191
  • Page 192 192
  • Page 193 193
  • Page 194 194
  • Page 195 195
  • Page 196 196
  • Page 197 197
  • Page 198 198
  • Page 199 199
  • Page 200 200
  • Page 201 201
  • Page 202 202
  • Page 203 203
  • Page 204 204
  • Page 205 205
  • Page 206 206
  • Page 207 207
  • Page 208 208
  • Page 209 209
  • Page 210 210
  • Page 211 211
  • Page 212 212
  • Page 213 213
  • Page 214 214
  • Page 215 215
  • Page 216 216
  • Page 217 217
  • Page 218 218
  • Page 219 219
  • Page 220 220
  • Page 221 221
  • Page 222 222
  • Page 223 223
  • Page 224 224
  • Page 225 225
  • Page 226 226
  • Page 227 227
  • Page 228 228
  • Page 229 229
  • Page 230 230
  • Page 231 231
  • Page 232 232
  • Page 233 233
  • Page 234 234
  • Page 235 235
  • Page 236 236
  • Page 237 237
  • Page 238 238
  • Page 239 239
  • Page 240 240
  • Page 241 241
  • Page 242 242
  • Page 243 243
  • Page 244 244
  • Page 245 245
  • Page 246 246
  • Page 247 247
  • Page 248 248
  • Page 249 249
  • Page 250 250
  • Page 251 251
  • Page 252 252
  • Page 253 253
  • Page 254 254
  • Page 255 255
  • Page 256 256
  • Page 257 257
  • Page 258 258
  • Page 259 259
  • Page 260 260
  • Page 261 261
  • Page 262 262
  • Page 263 263
  • Page 264 264
  • Page 265 265
  • Page 266 266
  • Page 267 267
  • Page 268 268
  • Page 269 269
  • Page 270 270
  • Page 271 271
  • Page 272 272
  • Page 273 273
  • Page 274 274
  • Page 275 275
  • Page 276 276
  • Page 277 277
  • Page 278 278
  • Page 279 279
  • Page 280 280
  • Page 281 281
  • Page 282 282
  • Page 283 283
  • Page 284 284
  • Page 285 285
  • Page 286 286
  • Page 287 287
  • Page 288 288
  • Page 289 289
  • Page 290 290
  • Page 291 291
  • Page 292 292
  • Page 293 293
  • Page 294 294
  • Page 295 295
  • Page 296 296
  • Page 297 297
  • Page 298 298
  • Page 299 299
  • Page 300 300
  • Page 301 301
  • Page 302 302
  • Page 303 303
  • Page 304 304
  • Page 305 305
  • Page 306 306
  • Page 307 307
  • Page 308 308
  • Page 309 309
  • Page 310 310
  • Page 311 311
  • Page 312 312
  • Page 313 313
  • Page 314 314
  • Page 315 315
  • Page 316 316
  • Page 317 317
  • Page 318 318
  • Page 319 319
  • Page 320 320
  • Page 321 321
  • Page 322 322
  • Page 323 323
  • Page 324 324
  • Page 325 325
  • Page 326 326
  • Page 327 327
  • Page 328 328
  • Page 329 329
  • Page 330 330
  • Page 331 331
  • Page 332 332
  • Page 333 333
  • Page 334 334
  • Page 335 335
  • Page 336 336
  • Page 337 337
  • Page 338 338
  • Page 339 339
  • Page 340 340
  • Page 341 341
  • Page 342 342
  • Page 343 343
  • Page 344 344
  • Page 345 345
  • Page 346 346
  • Page 347 347
  • Page 348 348
  • Page 349 349
  • Page 350 350
  • Page 351 351
  • Page 352 352
  • Page 353 353
  • Page 354 354
  • Page 355 355
  • Page 356 356
  • Page 357 357
  • Page 358 358
  • Page 359 359
  • Page 360 360
  • Page 361 361
  • Page 362 362
  • Page 363 363
  • Page 364 364
  • Page 365 365
  • Page 366 366
  • Page 367 367
  • Page 368 368
  • Page 369 369
  • Page 370 370
  • Page 371 371
  • Page 372 372
  • Page 373 373
  • Page 374 374
  • Page 375 375
  • Page 376 376
  • Page 377 377
  • Page 378 378
  • Page 379 379
  • Page 380 380
  • Page 381 381
  • Page 382 382
  • Page 383 383
  • Page 384 384
  • Page 385 385
  • Page 386 386
  • Page 387 387
  • Page 388 388
  • Page 389 389
  • Page 390 390
  • Page 391 391
  • Page 392 392
  • Page 393 393
  • Page 394 394
  • Page 395 395
  • Page 396 396
  • Page 397 397
  • Page 398 398
  • Page 399 399
  • Page 400 400
  • Page 401 401
  • Page 402 402
  • Page 403 403
  • Page 404 404
  • Page 405 405
  • Page 406 406
  • Page 407 407
  • Page 408 408
  • Page 409 409
  • Page 410 410
  • Page 411 411
  • Page 412 412
  • Page 413 413
  • Page 414 414
  • Page 415 415
  • Page 416 416
  • Page 417 417
  • Page 418 418
  • Page 419 419
  • Page 420 420
  • Page 421 421
  • Page 422 422
  • Page 423 423
  • Page 424 424
  • Page 425 425
  • Page 426 426
  • Page 427 427
  • Page 428 428
  • Page 429 429
  • Page 430 430
  • Page 431 431
  • Page 432 432
  • Page 433 433
  • Page 434 434
  • Page 435 435
  • Page 436 436
  • Page 437 437
  • Page 438 438
  • Page 439 439
  • Page 440 440
  • Page 441 441
  • Page 442 442
  • Page 443 443
  • Page 444 444
  • Page 445 445
  • Page 446 446
  • Page 447 447
  • Page 448 448
  • Page 449 449
  • Page 450 450
  • Page 451 451
  • Page 452 452
  • Page 453 453
  • Page 454 454
  • Page 455 455
  • Page 456 456
  • Page 457 457
  • Page 458 458
  • Page 459 459
  • Page 460 460
  • Page 461 461
  • Page 462 462
  • Page 463 463
  • Page 464 464
  • Page 465 465
  • Page 466 466
  • Page 467 467
  • Page 468 468
  • Page 469 469
  • Page 470 470
  • Page 471 471
  • Page 472 472
  • Page 473 473
  • Page 474 474
  • Page 475 475
  • Page 476 476
  • Page 477 477
  • Page 478 478
  • Page 479 479
  • Page 480 480
  • Page 481 481
  • Page 482 482
  • Page 483 483
  • Page 484 484
  • Page 485 485
  • Page 486 486
  • Page 487 487
  • Page 488 488
  • Page 489 489
  • Page 490 490
  • Page 491 491
  • Page 492 492
  • Page 493 493
  • Page 494 494
  • Page 495 495
  • Page 496 496
  • Page 497 497
  • Page 498 498
  • Page 499 499
  • Page 500 500
  • Page 501 501
  • Page 502 502
  • Page 503 503
  • Page 504 504
  • Page 505 505
  • Page 506 506
  • Page 507 507
  • Page 508 508
  • Page 509 509
  • Page 510 510
  • Page 511 511
  • Page 512 512
  • Page 513 513
  • Page 514 514
  • Page 515 515
  • Page 516 516
  • Page 517 517
  • Page 518 518
  • Page 519 519
  • Page 520 520
  • Page 521 521
  • Page 522 522
  • Page 523 523
  • Page 524 524
  • Page 525 525
  • Page 526 526
  • Page 527 527
  • Page 528 528
  • Page 529 529
  • Page 530 530
  • Page 531 531
  • Page 532 532
  • Page 533 533
  • Page 534 534
  • Page 535 535
  • Page 536 536
  • Page 537 537
  • Page 538 538
  • Page 539 539
  • Page 540 540
  • Page 541 541
  • Page 542 542
  • Page 543 543
  • Page 544 544
  • Page 545 545
  • Page 546 546
  • Page 547 547
  • Page 548 548
  • Page 549 549
  • Page 550 550
  • Page 551 551
  • Page 552 552
  • Page 553 553
  • Page 554 554
  • Page 555 555
  • Page 556 556
  • Page 557 557
  • Page 558 558
  • Page 559 559
  • Page 560 560
  • Page 561 561
  • Page 562 562
  • Page 563 563
  • Page 564 564
  • Page 565 565
  • Page 566 566
  • Page 567 567
  • Page 568 568
  • Page 569 569
  • Page 570 570
  • Page 571 571
  • Page 572 572
  • Page 573 573
  • Page 574 574
  • Page 575 575
  • Page 576 576
  • Page 577 577
  • Page 578 578
  • Page 579 579
  • Page 580 580
  • Page 581 581
  • Page 582 582
  • Page 583 583
  • Page 584 584
  • Page 585 585
  • Page 586 586
  • Page 587 587
  • Page 588 588
  • Page 589 589
  • Page 590 590
  • Page 591 591
  • Page 592 592
  • Page 593 593
  • Page 594 594
  • Page 595 595
  • Page 596 596
  • Page 597 597
  • Page 598 598
  • Page 599 599
  • Page 600 600
  • Page 601 601
  • Page 602 602
  • Page 603 603
  • Page 604 604
  • Page 605 605
  • Page 606 606
  • Page 607 607
  • Page 608 608
  • Page 609 609
  • Page 610 610
  • Page 611 611
  • Page 612 612
  • Page 613 613
  • Page 614 614
  • Page 615 615
  • Page 616 616
  • Page 617 617
  • Page 618 618
  • Page 619 619
  • Page 620 620
  • Page 621 621
  • Page 622 622
  • Page 623 623
  • Page 624 624
  • Page 625 625
  • Page 626 626
  • Page 627 627
  • Page 628 628
  • Page 629 629
  • Page 630 630
  • Page 631 631
  • Page 632 632
  • Page 633 633
  • Page 634 634
  • Page 635 635
  • Page 636 636
  • Page 637 637
  • Page 638 638
  • Page 639 639
  • Page 640 640
  • Page 641 641
  • Page 642 642
  • Page 643 643
  • Page 644 644
  • Page 645 645
  • Page 646 646
  • Page 647 647
  • Page 648 648
  • Page 649 649
  • Page 650 650
  • Page 651 651
  • Page 652 652
  • Page 653 653
  • Page 654 654
  • Page 655 655
  • Page 656 656
  • Page 657 657
  • Page 658 658
  • Page 659 659
  • Page 660 660
  • Page 661 661
  • Page 662 662
  • Page 663 663
  • Page 664 664
  • Page 665 665
  • Page 666 666
  • Page 667 667
  • Page 668 668
  • Page 669 669
  • Page 670 670
  • Page 671 671
  • Page 672 672
  • Page 673 673
  • Page 674 674
  • Page 675 675
  • Page 676 676
  • Page 677 677
  • Page 678 678
  • Page 679 679
  • Page 680 680
  • Page 681 681
  • Page 682 682
  • Page 683 683
  • Page 684 684
  • Page 685 685
  • Page 686 686
  • Page 687 687
  • Page 688 688
  • Page 689 689
  • Page 690 690
  • Page 691 691
  • Page 692 692
  • Page 693 693
  • Page 694 694
  • Page 695 695
  • Page 696 696
  • Page 697 697
  • Page 698 698
  • Page 699 699
  • Page 700 700
  • Page 701 701
  • Page 702 702
  • Page 703 703
  • Page 704 704
  • Page 705 705
  • Page 706 706
  • Page 707 707
  • Page 708 708
  • Page 709 709
  • Page 710 710
  • Page 711 711
  • Page 712 712
  • Page 713 713
  • Page 714 714
  • Page 715 715
  • Page 716 716
  • Page 717 717
  • Page 718 718
  • Page 719 719
  • Page 720 720
  • Page 721 721
  • Page 722 722
  • Page 723 723
  • Page 724 724
  • Page 725 725
  • Page 726 726
  • Page 727 727
  • Page 728 728
  • Page 729 729
  • Page 730 730
  • Page 731 731
  • Page 732 732
  • Page 733 733
  • Page 734 734
  • Page 735 735
  • Page 736 736
  • Page 737 737
  • Page 738 738
  • Page 739 739
  • Page 740 740
  • Page 741 741
  • Page 742 742
  • Page 743 743
  • Page 744 744
  • Page 745 745
  • Page 746 746
  • Page 747 747
  • Page 748 748
  • Page 749 749
  • Page 750 750
  • Page 751 751
  • Page 752 752
  • Page 753 753
  • Page 754 754
  • Page 755 755
  • Page 756 756
  • Page 757 757
  • Page 758 758
  • Page 759 759
  • Page 760 760
  • Page 761 761
  • Page 762 762
  • Page 763 763
  • Page 764 764
  • Page 765 765
  • Page 766 766
  • Page 767 767
  • Page 768 768
  • Page 769 769
  • Page 770 770
  • Page 771 771
  • Page 772 772
  • Page 773 773
  • Page 774 774
  • Page 775 775
  • Page 776 776
  • Page 777 777
  • Page 778 778
  • Page 779 779
  • Page 780 780
  • Page 781 781
  • Page 782 782
  • Page 783 783
  • Page 784 784
  • Page 785 785
  • Page 786 786
  • Page 787 787
  • Page 788 788
  • Page 789 789
  • Page 790 790
  • Page 791 791
  • Page 792 792
  • Page 793 793
  • Page 794 794
  • Page 795 795
  • Page 796 796
  • Page 797 797
  • Page 798 798
  • Page 799 799
  • Page 800 800
  • Page 801 801
  • Page 802 802
  • Page 803 803
  • Page 804 804
  • Page 805 805
  • Page 806 806
  • Page 807 807
  • Page 808 808
  • Page 809 809
  • Page 810 810
  • Page 811 811
  • Page 812 812
  • Page 813 813
  • Page 814 814
  • Page 815 815
  • Page 816 816
  • Page 817 817
  • Page 818 818
  • Page 819 819
  • Page 820 820
  • Page 821 821
  • Page 822 822
  • Page 823 823
  • Page 824 824
  • Page 825 825
  • Page 826 826
  • Page 827 827
  • Page 828 828
  • Page 829 829
  • Page 830 830
  • Page 831 831
  • Page 832 832
  • Page 833 833
  • Page 834 834
  • Page 835 835
  • Page 836 836
  • Page 837 837
  • Page 838 838
  • Page 839 839
  • Page 840 840
  • Page 841 841
  • Page 842 842
  • Page 843 843
  • Page 844 844
  • Page 845 845
  • Page 846 846
  • Page 847 847
  • Page 848 848
  • Page 849 849
  • Page 850 850
  • Page 851 851
  • Page 852 852
  • Page 853 853
  • Page 854 854
  • Page 855 855
  • Page 856 856
  • Page 857 857
  • Page 858 858
  • Page 859 859
  • Page 860 860
  • Page 861 861
  • Page 862 862
  • Page 863 863
  • Page 864 864
  • Page 865 865
  • Page 866 866
  • Page 867 867
  • Page 868 868
  • Page 869 869
  • Page 870 870
  • Page 871 871
  • Page 872 872
  • Page 873 873
  • Page 874 874
  • Page 875 875
  • Page 876 876
  • Page 877 877
  • Page 878 878
  • Page 879 879
  • Page 880 880
  • Page 881 881
  • Page 882 882
  • Page 883 883
  • Page 884 884
  • Page 885 885
  • Page 886 886
  • Page 887 887
  • Page 888 888
  • Page 889 889
  • Page 890 890
  • Page 891 891
  • Page 892 892
  • Page 893 893
  • Page 894 894
  • Page 895 895
  • Page 896 896
  • Page 897 897
  • Page 898 898
  • Page 899 899
  • Page 900 900
  • Page 901 901
  • Page 902 902
  • Page 903 903
  • Page 904 904
  • Page 905 905
  • Page 906 906
  • Page 907 907
  • Page 908 908
  • Page 909 909
  • Page 910 910
  • Page 911 911
  • Page 912 912
  • Page 913 913
  • Page 914 914
  • Page 915 915
  • Page 916 916
  • Page 917 917
  • Page 918 918
  • Page 919 919
  • Page 920 920
  • Page 921 921
  • Page 922 922
  • Page 923 923
  • Page 924 924
  • Page 925 925
  • Page 926 926
  • Page 927 927
  • Page 928 928
  • Page 929 929
  • Page 930 930
  • Page 931 931
  • Page 932 932
  • Page 933 933
  • Page 934 934
  • Page 935 935
  • Page 936 936
  • Page 937 937
  • Page 938 938
  • Page 939 939
  • Page 940 940
  • Page 941 941
  • Page 942 942
  • Page 943 943
  • Page 944 944
  • Page 945 945
  • Page 946 946
  • Page 947 947
  • Page 948 948
  • Page 949 949
  • Page 950 950
  • Page 951 951
  • Page 952 952
  • Page 953 953
  • Page 954 954
  • Page 955 955
  • Page 956 956
  • Page 957 957
  • Page 958 958
  • Page 959 959
  • Page 960 960
  • Page 961 961
  • Page 962 962
  • Page 963 963
  • Page 964 964
  • Page 965 965
  • Page 966 966
  • Page 967 967
  • Page 968 968
  • Page 969 969
  • Page 970 970
  • Page 971 971
  • Page 972 972
  • Page 973 973
  • Page 974 974
  • Page 975 975
  • Page 976 976
  • Page 977 977
  • Page 978 978
  • Page 979 979
  • Page 980 980
  • Page 981 981
  • Page 982 982
  • Page 983 983
  • Page 984 984
  • Page 985 985
  • Page 986 986
  • Page 987 987
  • Page 988 988
  • Page 989 989
  • Page 990 990
  • Page 991 991
  • Page 992 992
  • Page 993 993
  • Page 994 994
  • Page 995 995
  • Page 996 996
  • Page 997 997
  • Page 998 998
  • Page 999 999
  • Page 1000 1000
  • Page 1001 1001
  • Page 1002 1002
  • Page 1003 1003
  • Page 1004 1004
  • Page 1005 1005
  • Page 1006 1006
  • Page 1007 1007
  • Page 1008 1008
  • Page 1009 1009
  • Page 1010 1010
  • Page 1011 1011
  • Page 1012 1012
  • Page 1013 1013
  • Page 1014 1014
  • Page 1015 1015
  • Page 1016 1016
  • Page 1017 1017
  • Page 1018 1018
  • Page 1019 1019
  • Page 1020 1020
  • Page 1021 1021
  • Page 1022 1022
  • Page 1023 1023
  • Page 1024 1024
  • Page 1025 1025
  • Page 1026 1026
  • Page 1027 1027
  • Page 1028 1028
  • Page 1029 1029
  • Page 1030 1030
  • Page 1031 1031
  • Page 1032 1032
  • Page 1033 1033
  • Page 1034 1034
  • Page 1035 1035
  • Page 1036 1036
  • Page 1037 1037
  • Page 1038 1038
  • Page 1039 1039
  • Page 1040 1040
  • Page 1041 1041
  • Page 1042 1042
  • Page 1043 1043
  • Page 1044 1044
  • Page 1045 1045
  • Page 1046 1046
  • Page 1047 1047
  • Page 1048 1048
  • Page 1049 1049
  • Page 1050 1050
  • Page 1051 1051
  • Page 1052 1052
  • Page 1053 1053
  • Page 1054 1054
  • Page 1055 1055
  • Page 1056 1056
  • Page 1057 1057
  • Page 1058 1058
  • Page 1059 1059
  • Page 1060 1060
  • Page 1061 1061
  • Page 1062 1062
  • Page 1063 1063
  • Page 1064 1064
  • Page 1065 1065
  • Page 1066 1066
  • Page 1067 1067
  • Page 1068 1068
  • Page 1069 1069
  • Page 1070 1070
  • Page 1071 1071
  • Page 1072 1072
  • Page 1073 1073
  • Page 1074 1074
  • Page 1075 1075
  • Page 1076 1076
  • Page 1077 1077
  • Page 1078 1078
  • Page 1079 1079
  • Page 1080 1080
  • Page 1081 1081
  • Page 1082 1082
  • Page 1083 1083
  • Page 1084 1084
  • Page 1085 1085
  • Page 1086 1086
  • Page 1087 1087
  • Page 1088 1088
  • Page 1089 1089
  • Page 1090 1090
  • Page 1091 1091
  • Page 1092 1092
  • Page 1093 1093
  • Page 1094 1094
  • Page 1095 1095
  • Page 1096 1096
  • Page 1097 1097
  • Page 1098 1098
  • Page 1099 1099
  • Page 1100 1100
  • Page 1101 1101
  • Page 1102 1102
  • Page 1103 1103
  • Page 1104 1104
  • Page 1105 1105
  • Page 1106 1106
  • Page 1107 1107
  • Page 1108 1108
  • Page 1109 1109
  • Page 1110 1110
  • Page 1111 1111
  • Page 1112 1112
  • Page 1113 1113
  • Page 1114 1114
  • Page 1115 1115
  • Page 1116 1116
  • Page 1117 1117
  • Page 1118 1118
  • Page 1119 1119
  • Page 1120 1120
  • Page 1121 1121
  • Page 1122 1122
  • Page 1123 1123
  • Page 1124 1124
  • Page 1125 1125
  • Page 1126 1126
  • Page 1127 1127
  • Page 1128 1128
  • Page 1129 1129
  • Page 1130 1130
  • Page 1131 1131
  • Page 1132 1132
  • Page 1133 1133
  • Page 1134 1134
  • Page 1135 1135
  • Page 1136 1136
  • Page 1137 1137
  • Page 1138 1138
  • Page 1139 1139
  • Page 1140 1140
  • Page 1141 1141
  • Page 1142 1142
  • Page 1143 1143
  • Page 1144 1144
  • Page 1145 1145
  • Page 1146 1146
  • Page 1147 1147
  • Page 1148 1148
  • Page 1149 1149
  • Page 1150 1150
  • Page 1151 1151
  • Page 1152 1152
  • Page 1153 1153
  • Page 1154 1154
  • Page 1155 1155
  • Page 1156 1156
  • Page 1157 1157
  • Page 1158 1158
  • Page 1159 1159
  • Page 1160 1160
  • Page 1161 1161
  • Page 1162 1162
  • Page 1163 1163
  • Page 1164 1164
  • Page 1165 1165
  • Page 1166 1166
  • Page 1167 1167
  • Page 1168 1168
  • Page 1169 1169
  • Page 1170 1170
  • Page 1171 1171
  • Page 1172 1172
  • Page 1173 1173
  • Page 1174 1174
  • Page 1175 1175
  • Page 1176 1176

NXP MPC8308 Reference guide

Category
Processors
Type
Reference guide

Ask a question and I''ll find the answer in the document

Finding information in a document is now easier with AI