Altera Arria 10 Avalon-ST Interface User manual

Category
Software
Type
User manual
Arria 10 Avalon-MM DMA Interface for PCIe Solutions
User Guide
Last updated for Quartus Prime Design Suite: 15.1
Subscribe
Send Feedback
UG-01145_avmm_dma
2015.11.02
101 Innovation Drive
San Jose, CA 95134
www.altera.com
Datasheet
1
2015.11.02
UG-01145_avmm_dma
Subscribe
Send Feedback
Arria 10 Avalon-MM DMA Interface for PCIe Datasheet
Altera
®
Arria 10 FPGAs include a configurable, hardened protocol stack for PCI Express
®
that is
compliant with PCI Express Base Specification 3.0.
The Arria
®
10 Hard IP for PCI Express with the Avalon
®
Memory-Mapped (Avalon-MM) DMA
interface removes some of the complexities associated with the PCIe protocol. For example, the IP core
handles TLP encoding and decoding. In addition, the IP core includes Read DMA and Write DMA
engines. If you have already architected your own DMA system with the Avalon-MM interface, you may
want to continue to use that system. However, you may benefit from the simplicity of having the included
DMA engines. New users of this protocol should use this IP core. This variant is available in Qsys for 128-
and 256-bit interfaces to the Application Layer. The Avalon-MM interface and DMA engines are
implemented in FPGA soft logic.
Figure 1-1: Arria 10 PCIe Variant with Avalon-MM DMA Interface
The following figure shows the high-level modules and connecting interfaces for this variant.
Avalon-MM
DMA
Bridge
PCIe Hard IP
Block
PIPE
Interface
PHY IP Core
for PCIe
(PCS/PMA)
Serial Data
Transmission
Application
Layer
(User Logic)
Avalon-MM with
DMA Interface
©
2015 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are
trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as
trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html. Altera warrants performance
of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any
products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information,
product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device
specifications before relying on any published information and before placing orders for products or services.
ISO
9001:2008
Registered
www.altera.com
101 Innovation Drive, San Jose, CA 95134
Table 1-1: PCI Express Data Throughput
The following table shows the aggregate bandwidth of a PCI Express link for Gen1, Gen2, and Gen3 for 2, 4, and 8
lanes. The protocol specifies 2.5 giga-transfers per second for Gen1, 5.0 giga-transfers per second for Gen2, and
8.0 giga-transfers per second for Gen3. This table provides bandwidths for a single transmit (TX) or receive (RX)
channel. The numbers double for duplex operation. Gen1 and Gen2 use 8B/10B encoding which introduces a 20%
overhead. In contrast, Gen3 uses 128b/130b encoding which introduces only a 1.5% overhead.
Units are Gigabits per second (Gbps).
Link Width
×2 ×4 ×8
PCI Express Gen1 (2.5 Gbps)
N/A N/A
16 Gbps
PCI Express Gen2 (5.0 Gbps) N/A 16 Gbps 32 Gbps
PCI Express Gen3 (8.0 Gbps) 15.75 Gbps 31.51 Gbps 63Gbps
Related Information
Introduction to Altera IP Cores
Provides general information about all Altera IP cores, including parameterizing, generating,
upgrading, and simulating IP.
Creating Version-Independent IP and Qsys Simulation Scripts
Create simulation scripts that do not require manual updates for software or IP version upgrades.
Project Management Best Practices
Guidelines for efficient management and portability of your project and IP files.
PCI Express Base Specification 3.0
AN 690: PCI Express DMA Reference Design for Stratix V Devices
This reference design includes an Avalon-MM with DMA interface to the Application Layer. It
illustrates chaining DMA performance using internal memory.
AN 708: PCI Express DMA Reference Design Using External DDR3 Memory for Stratix V and
Arria V GZ Devices
This reference design includes an Avalon-MM with DMA interface to the Application Layer. It
illustrates chaining DMA performance using external DDR3 memory.
Creating a System with Qsys
1-2
Arria 10 Avalon-MM DMA Interface for PCIe Datasheet
UG-01145_avmm_dma
2015.11.02
Altera Corporation
Datasheet
Send Feedback
Features
New features in the Quartus
®
Prime 15.1 software release:
Improved component GUI that simplifies parameterization. Among the changes is a new single
parameter, HIP mode that combines all supported data rates, interface widths, and frequencies as a
single parameter.
New Generate Design Example option that automatically generates both simulation and hardware
example designs with the parameters that you specify. You can also download the hardware example
design directly to the Arria 10 FPGA Development Kit.
Support for 256 tags for the Avalon-MM DMA read channel, enhancing performance for high latency
designs.
Support for Completion buffer overflow monitoring.
Support for immediate write for 32-bit data.
The Arria 10 Avalon-MM DMA for PCI Express supports the following features:
Complete protocol stack including the Transaction, Data Link, and Physical Layers implemented as
hard IP.
Native support for Gen1 x8, Gen2 x4, Gen2 x8, Gen3 x2, Gen3 x4, Gen3 x8 for Endpoints. The variant
downtrains when plugged into a lesser link width or changes to a different maximum link rate.
Dedicated 16 kilobyte (KB) receive buffer.
Support for 128- or 256-bit Avalon-MM interface to Application Layer with embedded DMA up to
Gen3 ×8 data rate.
Support for 32- or 64-bit addressing for the Avalon-MM interface to the Application Layer.
Qsys design example demonstrating parameterization, design modules, and connectivity.
Extended credit allocation settings to better optimize the RX buffer space based on application type.
Optional end-to-end cyclic redundancy code (ECRC) generation and checking and advanced error
reporting (AER) for high reliability applications.
Easy to use:
Flexible configuration.
No license requirement.
Design examples to get started.
Table 1-2: Feature Comparison for all Hard IP for PCI Express IP Cores
The table compares the features of the three mainstream Hard IP for PCI Express IP Cores. Refer to the Arria 10
Avalon-ST Interface with SR-IOV PCIe Solutions User Guide for the features of that variant.
Feature Avalon-ST Interface Avalon-MM Interface Avalon-MM DMA
IP Core License Free Free Free
Native Endpoint Supported Supported Supported
Root port Supported Supported Not Supported
Gen1 ×1, ×2, ×4, ×8 ×1, ×2, ×4, ×8 x8
UG-01145_avmm_dma
2015.11.02
Features
1-3
Datasheet
Altera Corporation
Send Feedback
Feature Avalon-ST Interface Avalon-MM Interface Avalon-MM DMA
Gen2 ×1, ×2, ×4, ×8 ×1, ×2, ×4, ×8 ×4, ×8
Gen3 ×1, ×2, ×4, ×8 ×1, ×2, ×4 ×2, ×4, ×8
64-bit Application
Layer interface
Supported Supported Not supported
128-bit Application
Layer interface
Supported Supported Supported
256-bit Application
Layer interface
Supported Not Supported Supported
Maximum payload
size
128, 256, 512, 1024,
2048 bytes
128, 256 bytes 128, 256 bytes
Number of tags
supported for non-
posted requests
256 8 16 or 256
Automatically handle
out-of-order
completions
(transparent to the
Application Layer)
Not supported Supported Supported
Automatically handle
requests that cross 4
KB address boundary
(transparent to the
Application Layer)
Not supported Supported Supported
Polarity Inversion of
PIPE interface signals
Supported Supported Supported
Number of MSI
requests
1, 2, 4, 8, 16, or 32 1, 2, 4, 8, 16, or 32 1, 2, 4, 8, 16, or 32
MSI-X Supported Supported Supported
Legacy interrupts Supported Supported Supported
Expansion ROM Supported Not supported Not supported
1-4
Features
UG-01145_avmm_dma
2015.11.02
Altera Corporation
Datasheet
Send Feedback
Table 1-3: TLP Support Comparison for all Hard IP for PCI Express IP Cores
The table compares the TLP types that the four Hard IP for PCI Express IP Cores can transmit. Each entry
indicates whether this TLP type is supported (for transmit) by endpoints (EP), Root Ports (RP), or both (EP/RP).
TLP (Transmit Support) Avalon-ST Interface Avalon-MM Interface Avalon-MM DMA
Memory Read Request
(Mrd)
EP/RP EP/RP Not supported
Memory Read Lock
Request (MRdLk)
EP/RP Not supported
Memory Write Request
(MWr)
EP/RP EP/RP Not supported
I/O Read Request
(IORd)
EP/RP EP/RP Not supported
I/O Write Request
(IOWr)
EP/RP EP/RP Not supported
Config Type 0 Read
Request (CfgRd0)
RP RP Not supported
Config Type 0 Write
Request (CfgWr0)
RP RP Not supported
Config Type 1 Read
Request (CfgRd1)
RP RP Not supported
Config Type 1 Write
Request (CfgWr1)
RP RP Not supported
Message Request (Msg) EP/RP Not supported Not supported
Message Request with
Data (MsgD)
EP/RP Not supported Not supported
Completion (Cpl) EP/RP EP/RP EP
Completion with Data
(CplD)
EP/RP Not supported EP
Completion-Locked
(CplLk)
EP/RP Not supported Not supported
Completion Lock with
Data (CplDLk)
EP/RP Not supported Not supported
Fetch and Add
AtomicOp Request
(FetchAdd)
EP Not supported Not supported
The Arria 10 Avalon-MM DMA Interface for PCIe Solutions User Guide explains how to use this IP core
and not the PCI Express protocol. Although there is inevitable overlap between these two purposes, use
this document only in conjunction with an understanding of the PCI Express Base Specification.
UG-01145_avmm_dma
2015.11.02
Features
1-5
Datasheet
Altera Corporation
Send Feedback
Related Information
Arria 10 Avalon-MM Interface for PCIe Solutions User Guide
Arria 10 Avalon-ST Interface for PCIe Solutions User Guide
Arria 10 Avalon-ST Interface with SR-IOV for PCIe Solutions User Guide
PCI Express Base Specification 3.0
Release Information
Table 1-4: Hard IP for PCI Express Release Information
Item Description
Version 15.1
Release Date November 2015
Ordering Codes No ordering code is required
Product IDs
The Product ID and Vendor ID are not required
because this IP core does not require a license.
Vendor ID
Altera verifies that the current version of the Quartus Prime software compiles the previous version of
each IP core, if this IP core was included in the previous release. Altera reports any exceptions to this
verification in the Altera IP Release Notes or clarifies them in the Quartus Prime IP Update tool. Altera
does not verify compilation with IP core versions older than the previous release.
Related Information
Altera IP Release Notes
Device Family Support
Table 1-5: Device Family Support
Device Family Support
Arria 10
Preliminary. The IP core is verified with prelimi‐
nary timing models for this device family. The IP
core meets all functional requirements, but might
still be undergoing timing analysis for the device
family. It can be used in production designs with
caution.
Other device families Refer to the Altera's PCI Express IP Solutions web
page for support information on other device
families.
1-6
Release Information
UG-01145_avmm_dma
2015.11.02
Altera Corporation
Datasheet
Send Feedback
Related Information
Altera's PCI Express Web Page
Design Examples
Qsys example designs are available for the Arria 10 Avalon-MM DMA for PCI Express IP Core. You can
download them from the <install_dir>/ip/altera/altera_pcie/altera_pcie_a10_ed/example_design/a10 directory.
Related Information
Getting Started with the Avalon-MM DMA on page 3-1
Arria 10 PCI Express Quick Start Guide on page 2-1
Debug Features
Debug features allow observation and control of the Hard IP for faster debugging of system-level
problems.
IP Core Verification
To ensure compliance with the PCI Express specification, Altera performs extensive verification. The
simulation environment uses multiple testbenches that consist of industry-standard bus functional
models (BFMs) driving the PCI Express link interface. Altera performs the following tests in the
simulation environment:
Directed and pseudorandom stimuli test the Application Layer interface, Configuration Space, and all
types and sizes of TLPs
Error injection tests inject errors in the link, TLPs, and Data Link Layer Packets (DLLPs), and check
for the proper responses
PCI-SIG
®
Compliance Checklist tests that specifically test the items in the checklist
Random tests that test a wide range of traffic patterns
Altera provides example designs that you can leverage to test your PCBs and complete compliance base
board testing (CBB testing) at PCI-SIG, upon request.
Compatibility Testing Environment
Altera has performed significant hardware testing to ensure a reliable solution. In addition, Altera
internally tests every release with motherboards and PCI Express switches from a variety of manufac‐
turers. All PCI-SIG compliance tests are run with each IP core release.
Performance and Resource Utilization
Because the PCIe protocol stack is implemented in hardened logic, it uses no core device resources (no
ALMs and no embedded memory).
UG-01145_avmm_dma
2015.11.02
Design Examples
1-7
Datasheet
Altera Corporation
Send Feedback
The Avalon-MM with DMA Arria 10 variants include an Avalon-MM DMA bridge implemented in soft
logic that operates as a front end to the hardened protocol stack. The following table shows the typical
expected device resource utilization for selected configurations using the current version of the Quartus
Prime software targeting an Arria 10 device. With the exception of M20K memory blocks, the numbers of
ALMs and logic registers are rounded up to the nearest 50.
Table 1-6: Performance and Resource Utilization Arria 10 Avalon-MM DMA for PCI Express
Data Rate, Number of
Lanes, and Interface Width
ALMs M20K Memory Blocks Logic Registers
Gen2 x8 128 12700 19 22300
Gen3 x8 256 18000 47 31450
Related Information
Fitter Resources Reports
Recommended Speed Grades
Recommended speed grades are pending characterization of production Arria 10 devices.
Table 1-7: Arria 10 Recommended Speed Grades for All Avalon-MM Widths and Frequencies
Lane Rate Link Width Interface Width Application Clock
Frequency (MHz)
Recommended Speed Grades
Gen1 ×8 128 Bits 125 –1, –2, –3, –4
Gen2
×4 128 bits 125 –1, –2, –3, –4
×8 128 bits 250 –1, –2, –3
(1)
Gen3
×2 128 bits 125 –1, –2, –3
(1)
×4 128 bits 250 –1, –2, –3
(1)
×8 256 bits 250 –1, –2, –3
(1)
Related Information
Altera Software Installation and Licensing Manual
Setting up and Running Analysis and Synthesis
(1)
The -4 speed grade is also possible for this configuration; however, it requires significant effort by the end
user to close timing.
1-8
Recommended Speed Grades
UG-01145_avmm_dma
2015.11.02
Altera Corporation
Datasheet
Send Feedback
Creating a Design for PCI Express
Before you begin
Select the PCIe variant that best meets your design requirements.
Is your design an Endpoint or Root Port?
What Generation do you intend to implement?
What link width do you intend to implement?
What bandwidth does your application require?
Does your design require Configuration via Protocol (CvP)?
1. Select parameters for that variant.
2. For Arria 10 devices, you can use the new Example Design tab of the component GUI to generate a
design that you specify. Then, you can simulate this example and also download it to an Arria 10
FPGA Development Kit. Refer to the Arria 10 PCI Express IP Core Quick Start Guide for details.
3. For all devices, you can simulate using an Altera-provided example design. All of Altera's static PCI
Express example designs are available under <install_dir>/ip/altera/altera_pcie/altera_pcie_a10_ed/
example_design/a10. Alternatively, generate an example design that matches your parameter settings, or
create a simulation model and use your own custom or third-party BFM. The Qsys Generate menu
generates simulation models. Altera supports ModelSim-Altera for all IP. The PCIe cores support the
Aldec RivieraPro, Cadence NCsim, Mentor Graphics ModelSim, and Synopsys VCS and VCS-MX
simulators.
The Altera testbench and Root Port or Endpoint BFM provide a simple method to do basic testing of
the Application Layer logic that interfaces to the variation. However, the testbench and Root Port BFM
are not intended to be a substitute for a full verification environment. To thoroughly test your applica‐
tion, Altera suggests that you obtain commercially available PCI Express verification IP and tools, or
do your own extensive hardware testing, or both.
4. Compile your design using the Quartus Prime software. If the versions of your design and the Quartus
Prime software you are running do not match, regenerate your PCIe design.
5. Download your design to an Altera development board or your own PCB. Click on the All Develop‐
ment Kits link below for a list of Altera's development boards.
6. Test the hardware. You can use Altera's SignalTap
®
II Logic Analyzer or a third-party protocol
analyzer to observe behavior.
7. Substitute your Application Layer logic for the Application Layer logic in Altera's testbench. Then
repeat Steps 3–6. In Altera's testbenches, the PCIe core is typically called the DUT (device under test).
The Application Layer logic is typically called APPS.
Related Information
Parameter Settings on page 4-1
Getting Started with the Avalon-MM DMA on page 3-1
Arria 10 PCI Express Quick Start Guide on page 2-1
All Development Kits
UG-01145_avmm_dma
2015.11.02
Creating a Design for PCI Express
1-9
Datasheet
Altera Corporation
Send Feedback
Altera Wiki PCI Express
For complete design examples and help creating new projects and specific functions, such as MSI or
MSI-X related to PCI Express. Altera Applications engineers regularly update content and add new
design examples. These examples help designers like you get more out of the Altera PCI Express IP
core and may decrease your time-to-market. The design examples of the Altera Wiki page provide
useful guidance for developing your own design. However, the content of the Altera Wiki is not
guaranteed by Altera.
1-10
Creating a Design for PCI Express
UG-01145_avmm_dma
2015.11.02
Altera Corporation
Datasheet
Send Feedback
Arria 10 PCI Express Quick Start Guide
2
2015.11.02
UG-01145_avmm_dma
Subscribe
Send Feedback
The Arria 10 Hard IP for PCI Express IP core includes programmed I/O (PIO) and DMA design
examples to help you understand usage. The PIO example transfers memory from a host processor to a
target device. It is appropriate for low-bandwidth applications. The DMA examples include both a simple
DMA design example and a high-performance chaining DMA. The DMA examples are appropriate for
high-bandwidth applications. These design examples automatically create the files necessary to simulate
and compile in the Quartus Prime software. You can download the compiled design to the Arria 10 GX
FPGA Development Kit. The design examples cover a wide range of parameters for the Avalon-ST,
Avalon-MM and Avalon-MM with DMA interfaces. However, the automatically generated design
examples do not cover all possible parameterizations of the PCIe IP Core. If you select an unsupported
parameter set, generations fails and provides an error message.
In addition, many static design examples for simulation are only available in the <install_dir>/ip/altera/
altera_pcie/altera_pcie_a10_ed/example_design/a10 directory.
Figure 2-1: Development Steps for the Design Example
Example
Design
Generation
Compilation
(Simulator)
Functional
Simulation
Compilation
(Quartus Prime)
Hardware
Testing
Design Example
©
2015 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are
trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as
trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html. Altera warrants performance
of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any
products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information,
product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device
specifications before relying on any published information and before placing orders for products or services.
ISO
9001:2008
Registered
www.altera.com
101 Innovation Drive, San Jose, CA 95134
Directory Structure
Figure 2-2: Directory Structure for the Generated Design Example
<pcie_a10_hip_0_example_design>
pcie_example_design
pcie_example_design.qpf
Altera_PCIe_Interop_test.zip
Readme_Altera_PCIe_interop_Test.txt
<design component>
synth
.
.
.
.
.
.
pcie_example_design_tb
<simulator>
<simulator>
software
windows
interop
<design component>
<component simulation model>
<component simulation model>
sim
sim
pcie_example_design_tb
<Simulation Script>
pcie_example_design.qsf
pcie_example_design.sdc
pcie_example_design.qsys
<Simulation Script>
Design Components for the Avalon-MM with DMA Testbench
Figure 2-3: Block Diagram for the Qsys DMA Design Example Simulation Testbench
Root Port BFM
(pcie_example_design_inst)
OR
Host Memory
Descriptors
Data
Driver
Transaction,
Hard IP for PCIe
Data Link,
and
Physical
Layers
On-Chip
Memory
DMA Data
PCI Express Example Design Testbench
Descriptor
Controller
DMA Engine
Avalon-MM to
PCIe TLP
Bridge
Arria 10 Hard IP for PCI Express Using Avalon-MM
with DMA Application Layer Interface
Interconnect
hip_serial
hip_pipe
The DMA simulation testbench includes the following components.
2-2
Directory Structure
UG-01145_avmm_dma
2015.11.02
Altera Corporation
Arria 10 PCI Express Quick Start Guide
Send Feedback
A Root Port BFM.
Note: This Root Port BFM provides a simple method to do basic testing of the Application Layer logic
that interfaces to the variation. However, the testbench and Root Port BFM are not intended to
be a substitute for a full verification environment. To thoroughly test your application, Altera
suggests that you obtain commercially available PCI Express verification IP and tools, or do
your own extensive hardware testing or both.
A testbench driver that configures the Root Port, Endpoint, and programs the DMA to transfer data to
and from the On-Chip memory and Host Memory.
A testbench monitor that checks expected results.
The Arria 10 Hard IP for PCI Express Endpoint with the Instantiate internal descriptor controller
parameter enabled.
The automatically generated testbench performs downstream memory reads and writes. You can edit the
testbench parameters in pcie_example_design_tb.v to create a testbench that illustrates the following more
advanced DMA features:
Host allocation of memory
Descriptor instructions
Upstream memory reads and writes
The simulation reports, "Simulation stopped due to successful completion" if no errors occur. The log file,
altpcie_monitor_a10_dlhip_tlp_file_log.log records each TLP for both the initial configuration of the
Root Port BFM, PCIe Endpoint, and the test program.
UG-01145_avmm_dma
2015.11.02
Design Components for the Avalon-MM with DMA Testbench
2-3
Arria 10 PCI Express Quick Start Guide
Altera Corporation
Send Feedback
Figure 2-4: Qsys System Contents for Arria 10 PCI Express DMA Design Example
This view of the Arria 10 PCI Express DMA Design Example shows only the Avalon-MM, clock, and reset
interfaces.
Generating the Design
Figure 2-5: Procedure
Start Parameter
Editor
Specify IP Variation
and Select Device
Select
Design Parameters
Initiate
Design Generation
Specify
Design Example
2-4
Generating the Design
UG-01145_avmm_dma
2015.11.02
Altera Corporation
Arria 10 PCI Express Quick Start Guide
Send Feedback
Figure 2-6: Example Design Tab
Initiates Design
Generation
Select Arria 10 FPGA
Development Kit ES2
Follow these steps to generate the design:
1. In the IP Catalog (Tools > IP Catalog), locate and select Arria 10 Hard IP for PCI Express. The
parameter editor appears.
2. Specify a top-level name and the folder for your custom IP variation, and the target device. Click OK.
Note:
The Quick Start Design Examples are only supported in Arria 10 devices.
3. On the IP Settings tabs, specify the parameters for your IP variation.
4. Turn on Instantiate internal descriptor controller parameter on the Avalon-MM Settings tab.
5. On the Example Design tab, select either the PIO or DMA design for your IP variation.
6. For Example Design Files, select the Simulation and Synthesis options.
7. For Generated HDL Format, only Verilog is available.
8. For Target Development Kit select the Arria 10 FPGA Development Kit ES2 option.
Note:
SRAM object file (.sof) generation is only supported for Arria 10 ES2 devices in the 15.1
Quartus Prime release. Arria 10 production devices will be available in a future Quartus Prime
release.
9. Click the Generate Example Design button. The software generates all files necessary to run
simulations and hardware tests on the Arria 10 FPGA Development Kit ES2.
UG-01145_avmm_dma
2015.11.02
Generating the Design
2-5
Arria 10 PCI Express Quick Start Guide
Altera Corporation
Send Feedback
Compiling and Simulating the Design
Figure 2-7: Procedure
Change to
<Simulator>
Directory.
Run
<Simulation Script>.
Analyze
Results.
1. Change to the simulation directory.
2. Run the simulation script for the simulator of your choice. Refer to the table below.
3. Analyze the results.
Table 2-1: Steps to Run Simulation
Simulator Working Directory Instructions
ModelSim <example_design>/pcie_example_
design_tb/pcie_example_design_tb/
sim/mentor/
1. To run the DMA design example that demonstrates
both downstream and upstream traffic, open
<example_design>/pcie_example_design_tb/pcie_
example_design_tb/sim/pcie_example_design_tb.v and
change the value of apps_type_hwtcl to 6.
2.
do msim_setup.tcl
3.
ld_debug
4.
run -all
5. A successful simulation ends with the following
message, "Simulation stopped due to successful
completion!"
2-6
Compiling and Simulating the Design
UG-01145_avmm_dma
2015.11.02
Altera Corporation
Arria 10 PCI Express Quick Start Guide
Send Feedback
Simulator Working Directory Instructions
VCS <example_design>/pcie_example_
design_tb/pcie_example_design_tb/
sim/synopsys/vcs
1. To run the DMA design example that demonstrates
both downstream and upstream traffic, open
<example_design>/pcie_example_design_tb/pcie_
example_design_tb/sim/pcie_example_design_tb.v and
change the value of apps_type_hwtcl to 6.
2. Create a shell script, my_setup.sh. This script allows
you to add additional commands and override the
defaults included in vcs_setup.sh.
3. Include the following command in my_setup.sh:
source vcs_setup.sh USER_DEFINED_SIM_
OPTIONS=""
4. Type the following commands:
a.
chmod +x *.sh
b.
./my_setup.sh
5. A successful simulation ends with the following
message, "Simulation stopped due to successful
completion!"
Cadence <example_design>/pcie_example_
design_tb/pcie_example_design_tb/
sim/cadence
1. To run the DMA design example that demonstrates
both downstream and upstream traffic, open
<example_design>/pcie_example_design_tb/pcie_
example_design_tb/sim/pcie_example_design_tb.v and
change the value of apps_type_hwtcl to 6.
2. Create a shell script, my_setup.sh. This script allows
you to add additional commands and override the
defaults included in ncsim_setup.sh.
3. Include the following command in my_setup.sh:
source ncsim_setup.sh USER_DEFINED_SIM_
OPTIONS=""
4.
chmod +x *.sh
5.
./my_setup.sh
6. A successful simulation ends with the following
message, "Simulation stopped due to successful
completion!"
UG-01145_avmm_dma
2015.11.02
Compiling and Simulating the Design
2-7
Arria 10 PCI Express Quick Start Guide
Altera Corporation
Send Feedback
Figure 2-8: Partial Transcript from Successful Avalon-MM DMA Simulation Testbench
2-8
Compiling and Simulating the Design
UG-01145_avmm_dma
2015.11.02
Altera Corporation
Arria 10 PCI Express Quick Start Guide
Send Feedback
Compiling and Testing the Design in Hardware
Figure 2-9: Procedure
Compile Design
in Quartus Prime
Software.
Set up hardware. Program Device.
Test Design
in Hardware.
Figure 2-10: Software Application to Test the PCI Express Design Example on the Arria 10 GX FPGA
Development Kit
A software application running on a Windows PC performs the same hardware test for all of the PCI
Express Design Examples.
The software application is available on both 32- and 64-bit Windows platforms. This program performs
the following tasks:
1. Prints the Configuration Space, lane rate, and lane width.
2. Writes 0x00000000 to the specified BAR at offset 0x00000000 to initialize the memory and read it back.
3. Writes 0xABCD1234 at offset 0x00000000 of the specified BAR. Reads it back and compares.
If successful, the test program displays the message 'PASSED'
UG-01145_avmm_dma
2015.11.02
Compiling and Testing the Design in Hardware
2-9
Arria 10 PCI Express Quick Start Guide
Altera Corporation
Send Feedback
  • Page 1 1
  • Page 2 2
  • Page 3 3
  • Page 4 4
  • Page 5 5
  • Page 6 6
  • Page 7 7
  • Page 8 8
  • Page 9 9
  • Page 10 10
  • Page 11 11
  • Page 12 12
  • Page 13 13
  • Page 14 14
  • Page 15 15
  • Page 16 16
  • Page 17 17
  • Page 18 18
  • Page 19 19
  • Page 20 20
  • Page 21 21
  • Page 22 22
  • Page 23 23
  • Page 24 24
  • Page 25 25
  • Page 26 26
  • Page 27 27
  • Page 28 28
  • Page 29 29
  • Page 30 30
  • Page 31 31
  • Page 32 32
  • Page 33 33
  • Page 34 34
  • Page 35 35
  • Page 36 36
  • Page 37 37
  • Page 38 38
  • Page 39 39
  • Page 40 40
  • Page 41 41
  • Page 42 42
  • Page 43 43
  • Page 44 44
  • Page 45 45
  • Page 46 46
  • Page 47 47
  • Page 48 48
  • Page 49 49
  • Page 50 50
  • Page 51 51
  • Page 52 52
  • Page 53 53
  • Page 54 54
  • Page 55 55
  • Page 56 56
  • Page 57 57
  • Page 58 58
  • Page 59 59
  • Page 60 60
  • Page 61 61
  • Page 62 62
  • Page 63 63
  • Page 64 64
  • Page 65 65
  • Page 66 66
  • Page 67 67
  • Page 68 68
  • Page 69 69
  • Page 70 70
  • Page 71 71
  • Page 72 72
  • Page 73 73
  • Page 74 74
  • Page 75 75
  • Page 76 76
  • Page 77 77
  • Page 78 78
  • Page 79 79
  • Page 80 80
  • Page 81 81
  • Page 82 82
  • Page 83 83
  • Page 84 84
  • Page 85 85
  • Page 86 86
  • Page 87 87
  • Page 88 88
  • Page 89 89
  • Page 90 90
  • Page 91 91
  • Page 92 92
  • Page 93 93
  • Page 94 94
  • Page 95 95
  • Page 96 96
  • Page 97 97
  • Page 98 98
  • Page 99 99
  • Page 100 100
  • Page 101 101
  • Page 102 102
  • Page 103 103
  • Page 104 104
  • Page 105 105
  • Page 106 106
  • Page 107 107
  • Page 108 108
  • Page 109 109
  • Page 110 110
  • Page 111 111
  • Page 112 112
  • Page 113 113
  • Page 114 114
  • Page 115 115
  • Page 116 116
  • Page 117 117
  • Page 118 118
  • Page 119 119
  • Page 120 120
  • Page 121 121
  • Page 122 122
  • Page 123 123
  • Page 124 124
  • Page 125 125
  • Page 126 126
  • Page 127 127
  • Page 128 128
  • Page 129 129
  • Page 130 130
  • Page 131 131
  • Page 132 132
  • Page 133 133
  • Page 134 134
  • Page 135 135
  • Page 136 136
  • Page 137 137
  • Page 138 138
  • Page 139 139
  • Page 140 140
  • Page 141 141
  • Page 142 142
  • Page 143 143
  • Page 144 144
  • Page 145 145
  • Page 146 146
  • Page 147 147

Altera Arria 10 Avalon-ST Interface User manual

Category
Software
Type
User manual

Ask a question and I''ll find the answer in the document

Finding information in a document is now easier with AI