Intel AN 795 User guide

Type
User guide

Intel AN 795 is a comprehensive guide for implementing 10G Ethernet subsystems using Intel's Low Latency 10G Media Access Controller (MAC) and PHY IPs in Intel Arria 10 devices. It provides detailed guidelines for configuring and instantiating these IPs to create high-performance Ethernet solutions. The document includes design examples, clocking and reset schemes, and troubleshooting tips, making it a valuable resource for engineers working on 10G Ethernet designs with Intel Arria 10 FPGAs.

Intel AN 795 is a comprehensive guide for implementing 10G Ethernet subsystems using Intel's Low Latency 10G Media Access Controller (MAC) and PHY IPs in Intel Arria 10 devices. It provides detailed guidelines for configuring and instantiating these IPs to create high-performance Ethernet solutions. The document includes design examples, clocking and reset schemes, and troubleshooting tips, making it a valuable resource for engineers working on 10G Ethernet designs with Intel Arria 10 FPGAs.

AN 795: Implementing Guidelines
for 10G Ethernet Subsystem Using
Low Latency 10G MAC Intel® FPGA
IP in Intel® Arria® 10 Devices
Online Version
Send Feedback AN-795
ID: 683347
Version: 2020.10.28
Contents
1. Implementing Guidelines for 10G Ethernet Subsystem Using Low Latency 10G
MAC Intel® FPGA IP in Intel® Arria® 10 Devices ....................................................... 3
1.1. Low Latency Ethernet 10G MAC and Intel Arria 10 Transceiver Native PHY Intel
FPGA IPs........................................................................................................... 4
1.2. Low Latency Ethernet 10G MAC and XAUI PHY Intel FPGA IPs...................................... 6
1.3. Low Latency Ethernet 10G MAC and 1G/10GbE and 10GBASE-KR PHY Intel Arria 10
FPGA IPs........................................................................................................... 7
1.4. Low Latency Ethernet 10G MAC and 1G/2.5G/5G/10G Multi-Rate Ethernet PHY
Intel FPGA IPs.................................................................................................... 9
1.5. Document Revision History for AN 795: Implementing Guidelines for 10G Ethernet
Subsystem Using Low Latency 10G MAC Intel FPGA IP in Intel Arria 10 Devices.........12
Contents
AN 795: Implementing Guidelines for 10G Ethernet Subsystem Using Low
Latency 10G MAC Intel® FPGA IP in Intel® Arria® 10 Devices Send Feedback
2
1. Implementing Guidelines for 10G Ethernet Subsystem
Using Low Latency 10G MAC Intel® FPGA IP in Intel®
Arria® 10 Devices
The implementing guidelines show you how to use Intel's Low Latency 10G Media
Access Controller (MAC) and PHY IPs.
Figure 1. Intel® Arria® 10 Low Latency Ethernet 10G MAC System
Client
Module
Low Latency
Ethernet
10G MAC IP
PHY IP
Avalon-ST
Interface
XGMII/
GMII/MII
External
PHY
Serial
Interface
FPGA
Table 1. Intel® Arria® 10 Low Latency Ethernet 10G MAC Designs
This table lists all the Intel® Arria® 10 designs for Low Latency Ethernet 10G MAC Intel FPGA IP.
Design Example MAC Variant PHY Development Kit
10GBase-R Ethernet 10G Native PHY Intel Arria 10 GX Transceiver
SI
10GBase-R Register Mode
Ethernet
10G Native PHY Intel Arria 10 GX Transceiver
SI
XAUI Ethernet 10G XAUI PHY Intel Arria 10 GX FPGA
1G/10G Ethernet 1G/10G 1G/10GbE and 10GBASE-KR
PHY
Intel Arria 10 GX Transceiver
SI
1G/10G Ethernet with 1588 1G/10G 1G/10GbE and 10GBASE-KR
PHY
Intel Arria 10 GX Transceiver
SI
10M/100M/1G/10G Ethernet 10M/100M/1G/10G 1G/10GbE and 10GBASE-KR
PHY
Intel Arria 10 GX Transceiver
SI
10M/100M/1G/10G Ethernet
with 1588
10M/100M/1G/10G 1G/10GbE and 10GBASE-KR
PHY
Intel Arria 10 GX Transceiver
SI
1G/2.5G Ethernet 1G/2.5G 1G/2.5G/5G/10G
Multi-rate Ethernet PHY
Intel Arria 10 GX Transceiver
SI
1G/2.5G Ethernet with 1588 1G/2.5G 1G/2.5G/5G/10G
Multi-rate Ethernet PHY
Intel Arria 10 GX Transceiver
SI
1G/2.5G/10G Ethernet 1G/2.5G/10G 1G/2.5G/5G/10G
Multi-rate Ethernet PHY
Intel Arria 10 GX Transceiver
SI
10G USXGMII Ethernet 1G/2.5G/5G/10G (USXGMII) 1G/2.5G/5G/10G
Multi-rate Ethernet PHY
Intel Arria 10 GX Transceiver
SI
683347 | 2020.10.28
Send Feedback
Intel Corporation. All rights reserved. Intel, the Intel logo, and other Intel marks are trademarks of Intel
Corporation or its subsidiaries. Intel warrants performance of its FPGA and semiconductor products to current
specifications in accordance with Intel's standard warranty, but reserves the right to make changes to any
products and services at any time without notice. Intel assumes no responsibility or liability arising out of the
application or use of any information, product, or service described herein except as expressly agreed to in
writing by Intel. Intel customers are advised to obtain the latest version of device specifications before relying
on any published information and before placing orders for products or services.
*Other names and brands may be claimed as the property of others.
ISO
9001:2015
Registered
Note: You can access all the listed designs through the Low Latency Ethernet 10G MAC Intel
FPGA IP parameter editor in the Intel Quartus® Prime software, except for the XAUI
Ethernet reference design. You can get the XAUI Ethernet reference design from the
Design Store.
Intel offers separate MAC and PHY IPs for the 10M to 1G Multi-rate Ethernet
subsystems to ensure flexible implementation. You can instantiate the Low Latency
Ethernet 10G MAC Intel FPGA IP with 1G/2.5G/5G/10G Multi-rate Ethernet PHY, Intel
Arria 10 1G/10GbE and 10GBASE-KR PHY, or XAUI PHY and Intel Arria 10 Transceiver
Native PHY to cater different design requirements.
Related Information
Low Latency Ethernet 10G MAC Intel FPGA IP User Guide
Provides detailed information about instantiating and parameterizing the MAC
IP.
Low Latency Ethernet 10G MAC Intel Arria 10 FPGA IP Design Example User Guide
Provides detailed information about instantiating and parameterizing the MAC
design examples.
Intel Arria 10 Transceiver PHY User Guide
Provides detailed information about instantiating and parameterizing the PHY
IP.
Low Latency Ethernet 10G MAC Debug Checklist
AN 699: Using the Altera Ethernet Design Toolkit
This toolkit helps you to configure and run Ethernet reference designs as well
as debug any Ethernet related issues.
Fault Tree Analysis for Low Latency 10G MAC Data Corruption Issue
Arria 10 Low Latency Ethernet 10G MAC and XAUI PHY Reference Design
Provides the files for the reference design.
1.1. Low Latency Ethernet 10G MAC and Intel Arria 10 Transceiver
Native PHY Intel FPGA IPs
You can configure the Intel Arria 10 Transceiver Native PHY Intel FPGA IP to
implement the 10GBASE-R PHY with the Ethernet specific physical layer running at
10.3125 Gbps data rate as defined in Clause 49 of the IEEE 802.3-2008 specification.
This configuration provides an XGMII to Low Latency Ethernet 10G MAC Intel FPGA IP
and implements a single-channel 10.3 Gbps PHY providing a direct connection to an
SFP+ optical module using SFI electrical specification.
Intel offers two 10GBASE-R Ethernet subsystem design examples and you can
generate these designs dynamically using the Low Latency Ethernet 10G MAC Intel
FPGA IP parameter editor. The designs support functional simulation and hardware
testing on designated Intel development kits.
1. Implementing Guidelines for 10G Ethernet Subsystem Using Low Latency 10G MAC Intel®
FPGA IP in Intel® Arria® 10 Devices
683347 | 2020.10.28
AN 795: Implementing Guidelines for 10G Ethernet Subsystem Using Low
Latency 10G MAC Intel® FPGA IP in Intel® Arria® 10 Devices Send Feedback
4
Figure 2. Clocking and Reset Scheme for Low Latency Ethernet 10G MAC and Intel
Arria 10 Transceiver Native PHY in 10GBASE-R Design Example
avalon_st
32-bit Interface
avalon_mm_csr
32-bit Interface
rx_rst_n
tx_rst_n
csr_rst_n
csr_clk (125 MHz)
rx_156_25_clk
tx_156_25_clk
rx_312_50_clk
tx_312_50_clk
Low-Latency
Ethernet
10G MAC
reconfig_clk
rx_coreclkin
tx_coreclkin
rx_cdr_refclk
tx_serial_clk
reconfig_rst
Arria 10
Transceiver
Native PHY
(10GBASE-R)
XGMII 72-bit Interface
avalon_mm_csr
32-bit Interface
IOPLL
ATX PLL
ref_clk
322.265625 MHz 156.25 MHz
312.25 MHz
5156.25 MHz
Reset Signal
Clock Signal
Data Signal
1. Implementing Guidelines for 10G Ethernet Subsystem Using Low Latency 10G MAC Intel®
FPGA IP in Intel® Arria® 10 Devices
683347 | 2020.10.28
Send Feedback AN 795: Implementing Guidelines for 10G Ethernet Subsystem Using Low
Latency 10G MAC Intel® FPGA IP in Intel® Arria® 10 Devices
5
Figure 3. Clocking and Reset Scheme for Low Latency Ethernet 10G MAC and Intel
Arria 10 Transceiver Native PHY in 10GBASE-R Design Example with Register
Mode Enabled
avalon_st
32-bit Interface
avalon_mm_csr
32-bit Interface
rx_rst_n
tx_rst_n
csr_rst_n
csr_clk (125 MHz)
rx_xcvr_clk
322.265625 MHz
tx_xcvr_clk
322.265625 MHz
Low-Latency
Ethernet
10G MAC
reconfig_clk
rx_coreclkin
tx_coreclkin
rx_cdr_refclk
tx_serial_clk
reconfig_rst
Arria 10
Transceiver
Native PHY
(10GBASE-R
Register Mode)
XGMII 72-bit Interface
avalon_mm_csr
32-bit Interface
ATX PLL
ref_clk
322.265625 MHz
5156.25 MHz
rx_clkout
tx_clkout
Reset Signal
Clock Signal
Data Signal
Related Information
Low Latency Ethernet 10G MAC Intel Arria 10 FPGA IP Design Example User Guide
Provides detailed information about instantiating and parameterizing the MAC
design examples.
1.2. Low Latency Ethernet 10G MAC and XAUI PHY Intel FPGA IPs
The XAUI PHY Intel FPGA IP provides an XGMII to Low Latency Ethernet 10G MAC
Intel FPGA IP and implements four lanes each at 3.125 Gbps at the PMD interface.
The XAUI PHY is a specific physical layer implementation of the 10 Gigabit Ethernet
link defined in the IEEE 802.3ae-2008 specification.
You can obtain the reference design for the 10GbE subsystem implemented using Low
Latency Ethernet 10G MAC and XAUI PHY Intel FPGA IPs from Design Store. The
design supports functional simulation and hardware testing on designated Intel
development kit.
1. Implementing Guidelines for 10G Ethernet Subsystem Using Low Latency 10G MAC Intel®
FPGA IP in Intel® Arria® 10 Devices
683347 | 2020.10.28
AN 795: Implementing Guidelines for 10G Ethernet Subsystem Using Low
Latency 10G MAC Intel® FPGA IP in Intel® Arria® 10 Devices Send Feedback
6
Figure 4. Clocking and Reset Scheme for Low Latency Ethernet 10G MAC and XAUI PHY
Reference Design
avalon_st
32-bit Interface
avalon_mm_csr
32-bit Interface
rx_rst_n
tx_rst_n
csr_rst_n
csr_clk (100 MHz)
rx_156_25_clk
tx_156_25_clk
rx_312_50_clk
tx_312_50_clk
Low-Latency
Ethernet
10G MAC
reconfig_clk
xgmii_rx_clk
xgmii_tx_clk
pll_ref_clk
tx_bonding_clocks
reconfig_rst
XAUI
PHY
XGMII 72-bit Interface
avalon_mm_csr
32-bit Interface
fPLL
ATX PLL
ref_clk
156.25 MHz 156.25 MHz
312.50 MHz
1562.50 MHz
phy_mgmt_clk
phy_mgmt_clk_reset
Reset Signal
Clock Signal
Data Signal
Related Information
Arria 10 Low Latency Ethernet 10G MAC and XAUI PHY Reference Design
Provides the files for the reference design.
AN 794: Arria 10 Low Latency Ethernet 10G MAC and XAUI PHY Reference Design
1.3. Low Latency Ethernet 10G MAC and 1G/10GbE and 10GBASE-
KR PHY Intel Arria 10 FPGA IPs
The 1G/10GbE and 10GBASE-KR PHY Intel Arria 10 FPGA IP provide MII, GMII and
XGMII to Low Latency Ethernet 10G MAC Intel FPGA IP.
The 1G/10GbE and 10GBASE-KR PHY Intel Arria 10 FPGA IP implement a single-
channel 10Mbps/100Mbps/1Gbps/10Gbps serial PHY. The designs provide a direct
connection to 1G/10GbE dual speed SFP+ pluggable modules, 10M–10GbE 10GBASE-T
and 10M/100M/1G/10GbE 1000BASE-T copper external PHY devices, or chip-to-chip
interfaces. These IP cores support reconfigurable 10Mbps/100Mbps/1Gbps/10Gbps
data rates.
1. Implementing Guidelines for 10G Ethernet Subsystem Using Low Latency 10G MAC Intel®
FPGA IP in Intel® Arria® 10 Devices
683347 | 2020.10.28
Send Feedback AN 795: Implementing Guidelines for 10G Ethernet Subsystem Using Low
Latency 10G MAC Intel® FPGA IP in Intel® Arria® 10 Devices
7
Intel offers dual-speed 1G/10GbE and multi-speed 10Mb/100Mb/1Gb/10GbE design
examples and you can generate these designs dynamically using the Low Latency
Ethernet 10G MAC Intel FPGA IP parameter editor. The designs support functional
simulation and hardware testing on designated Intel development kit.
The multi-speed Ethernet subsystem implementation using 1G/10GbE or 10GBASE-KR
PHY Intel Arria 10 FPGA IP design requires manual SDC constraints for the internal
PHY IP clocks and clock domain crossing handling. Refer to the
altera_eth_top.sdc file in the design example to know more about the required
create_generated_clock, set_clock_groups and set_false_path SDC
constraints.
Figure 5. Clocking and Reset Scheme for Low Latency Ethernet 10G MAC and Intel
Arria 10 1G/10GbE and 10GBASE-KR Design Example (1G/10GbE Mode)
avalon_st
64-bit Interface
avalon_mm_csr
32-bit Interface
rx_rst_n
tx_rst_n
csr_rst_n
csr_clk (125 MHz)
rx_156_25_clk
tx_156_25_clk
rx_312_50_clk
tx_312_50_clk
Low-Latency
Ethernet
10G MAC
mgmt_clk
xgmii_rx_clk
xgmii_tx_clk
rx_cdr_ref_clk_1g
mgmt_clk_reset
Arria 10
1G/10GbE and
10GBASE-KR PHY
(1G/10G Mode)
XGMII 72-bit Interface
avalon_mm_csr
32-bit Interface
IOPLL
ATX PLL
led_link
refclk
125 MHz
speed_sel
ref_clk
644.5/
322.265625 MHz
156.25 MHz
312.50 MHz
5156.25 MHz
usr_seq_reset
gmii_rx_clk
gmii_tx_clk
fPLL 625 MHz
rx_cdr_ref_clk_10g
tx_serial_clk_10g
tx_serial_clk_1g
tx_clkout
Reset Signal
Clock Signal
Data Signal
1. Implementing Guidelines for 10G Ethernet Subsystem Using Low Latency 10G MAC Intel®
FPGA IP in Intel® Arria® 10 Devices
683347 | 2020.10.28
AN 795: Implementing Guidelines for 10G Ethernet Subsystem Using Low
Latency 10G MAC Intel® FPGA IP in Intel® Arria® 10 Devices Send Feedback
8
Figure 6. Clocking and Reset Scheme for Low Latency Ethernet 10G MAC and Intel
Arria 10 1G/10GbE and 10GBASE-KR Design Example (10Mb/100Mb/1Gb/
10GbE Mode)
avalon_st
32-bit Interface
avalon_mm_csr
32-bit Interface
rx_rst_n
tx_rst_n
csr_rst_n
csr_clk (125 MHz)
rx_156_25_clk
tx_156_25_clk
rx_312_50_clk
tx_312_50_clk
Low-Latency
Ethernet
10G MAC
mgmt_clk
xgmii_rx_clk
xgmii_tx_clk
rx_cdr_ref_clk_1g
mgmt_clk_reset
Arria 10
1G/10GbE and
10GBASE-KR PHY
(10M/100M/
1G/10G Mode)
XGMII 72-bit Interface
avalon_mm_csr
32-bit Interface
IOPLL
ATX PLL
mii_speed_set
refclk
125 MHz
speed_sel
ref_clk
644.5/
322.265625 MHz
156.25 MHz
312.50 MHz
5156.25 MHz
usr_seq_reset
gmii_rx_clk
gmii_tx_clk
fPLL 625 MHz
rx_cdr_ref_clk_10g
tx_serial_clk_10g
tx_serial_clk_1g
tx_clkout
Reset Signal
Clock Signal
Data Signal
Related Information
Low Latency Ethernet 10G MAC Intel Arria 10 FPGA IP Design Example User Guide
Provides detailed information about instantiating and parameterizing the MAC
design examples.
1.4. Low Latency Ethernet 10G MAC and 1G/2.5G/5G/10G Multi-
Rate Ethernet PHY Intel FPGA IPs
The 1G/2.5G/5G/10G Multi-Rate Ethernet PHY Intel FPGA IP for Intel Arria 10 devices
provides GMII and XGMII to the Low Latency Ethernet 10G MAC Intel FPGA IP.
1. Implementing Guidelines for 10G Ethernet Subsystem Using Low Latency 10G MAC Intel®
FPGA IP in Intel® Arria® 10 Devices
683347 | 2020.10.28
Send Feedback AN 795: Implementing Guidelines for 10G Ethernet Subsystem Using Low
Latency 10G MAC Intel® FPGA IP in Intel® Arria® 10 Devices
9
The 1G/2.5G/5G/10G Multi-Rate Ethernet PHY Intel FPGA IP for Intel Arria 10 devices
implements a single-channel 1G/2.5G/5G/10Gbps serial PHY. The design provides a
direct connection to 1G/2.5GbE dual speed SFP+ pluggable modules, MGBASE-T and
NBASE-T copper external PHY devices, or chip-to-chip interfaces. These IPs support
reconfigurable 1G/2.5G/5G/10Gbps data rates.
Intel offers dual-speed 1G/2.5GbE, multi-speed 1G/2.5G/10GbE MGBASE-T, and multi-
speed 1G/2.5G/5G/10GbE MGBASE-T design examples and you can generate these
designs dynamically using the Low Latency Ethernet 10G MAC Intel FPGA IP
parameter editor. The designs support functional simulation and hardware testing on
designated Intel development kit.
Figure 7. Clocking and Reset Scheme for Low Latency Ethernet 10G MAC and 1G/
2.5G/5G/10G Multi-Rate Ethernet PHY Design Example (1G/2.5G Mode)
avalon_st
32-bit Interface
avalon_mm_csr
32-bit Interface
rx_rst_n
tx_rst_n
csr_rst_n
csr_clk (125 MHz)
rx_156_25_clk
tx_156_25_clk
Low-Latency
Ethernet
10G MAC
csr_clk
reconfig_clk
rx_cdr_clk
reconfig_reset
1G/2.5G/5G/10G
Multi-Rate
Ethernet PHY
(1G/2.5G Mode)
XGMII 72-bit Interface
avalon_mm_csr
32-bit Interface
IOPLL
ATX PLL
operating_speed
speed_sel
rx_clkout
156.25 MHz
1562.50 MHz
reset
gmii16n_rx_clk
gmii16b_tx_clk
fPLL 625 MHz
tx_serial_clk
tx_clkout
Reset Signal
Clock Signal
Data Signal
For multi-speed 1G/2.5GbE and 1G/2.5G/10GbE MBASE-T Ethernet subsystem
implementations using 1G/2.5G/5G/10G Multi-rate Ethernet PHY Intel FPGA IP, Intel
recommends you copy the transceiver reconfiguration module
1. Implementing Guidelines for 10G Ethernet Subsystem Using Low Latency 10G MAC Intel®
FPGA IP in Intel® Arria® 10 Devices
683347 | 2020.10.28
AN 795: Implementing Guidelines for 10G Ethernet Subsystem Using Low
Latency 10G MAC Intel® FPGA IP in Intel® Arria® 10 Devices Send Feedback
10
(alt_mge_rcfg_a10.sv) provided with the design example. This module
reconfigures the transceiver channel speed from 1G to 2.5G, or to 10G, and vice
versa.
The multi-speed 1G/2.5GbE and 1G/2.5G/10GbE MBASE-T Ethernet subsystem
implementation also requires manual SDC constraints for the internal PHY IP clocks
and clock domain crossing handling. Refer to the altera_eth_top.sdc file in the
design example to know more about the required create_generated_clock,
set_clock_groups and set_false_path SDC constraints.
Figure 8. Clocking and Reset Scheme for Low Latency Ethernet 10G MAC and 1G/
2.5G/5G/10G Multi-Rate Ethernet PHY Design Example (1G/2.5G/10GbE
MBASE-T Mode)
avalon_st
64-bit Interface
avalon_mm_csr
32-bit Interface
rx_rst_n
tx_rst_n
csr_rst_n
csr_clk (125 MHz)
rx_156_25_clk
tx_156_25_clk
rx_312_50_clk
tx_312_50_clk
Low-Latency
Ethernet
10G MAC
csr_clk
reconfig_clk
xgmii_rx_clk
rx_cdr_refclk
reconfig_rst
1G/2.5G/5G/10G
Multi-Rate
Ethernet PHY
(MBASE-T Mode)
XGMII 72-bit Interface
avalon_mm_csr
32-bit Interface
fPLL
ATX PLL
operating_speed
speed_sel
ref_clk
644.5/
322.265625 MHz
156.25 MHz
312.50 MHz
1562.50 MHz
rst
gmii_rx_clk
gmii_tx_clk
fPLL 625 MHz
xgmii_tx_clk
tx_serial_clk
rx_clkout
xcvr_mode
tx_clkout
ATX PLL 5156.25 MHz
Reset Signal
Clock Signal
Data Signal
1. Implementing Guidelines for 10G Ethernet Subsystem Using Low Latency 10G MAC Intel®
FPGA IP in Intel® Arria® 10 Devices
683347 | 2020.10.28
Send Feedback AN 795: Implementing Guidelines for 10G Ethernet Subsystem Using Low
Latency 10G MAC Intel® FPGA IP in Intel® Arria® 10 Devices
11
Figure 9. Clocking and Reset Scheme for Low Latency Ethernet 10G MAC and 1G/
2.5G/5G/10G Multi-Rate Ethernet PHY Design Example (1G/2.5G/5G/10GbE
NBASE-T Mode)
avalon_st
64-bit Interface
avalon_mm_csr
32-bit Interface
rx_rst_n
tx_rst_n
csr_rst_n
csr_clk (125 MHz)
rx_156_25_clk
tx_156_25_clk
rx_312_50_clk
tx_312_50_clk
Low-Latency
Ethernet
10G MAC
csr_clk
reconfig_clk
xgmii_rx_clk
rx_cdr_refclk
reconfig_rst
1G/2.5G/5G/10G
Multi-Rate
Ethernet PHY
(NBASE-T Mode)
XGMII 32-bit Interface
avalon_mm_csr
32-bit Interface
fPLL
operating_speed
speed_sel
ref_clk
644.5/
322.265625 MHz
156.25 MHz
312.50 MHz
rst
xgmii_tx_clk
tx_serial_clk
ATX PLL 5156.25 MHz
Reset Signal
Clock Signal
Data Signal
Related Information
Low Latency Ethernet 10G MAC Intel Arria 10 FPGA IP Design Example User Guide
Provides detailed information about instantiating and parameterizing the MAC
design examples.
1.5. Document Revision History for AN 795: Implementing
Guidelines for 10G Ethernet Subsystem Using Low Latency 10G MAC
Intel FPGA IP in Intel Arria 10 Devices
Document
Version
Changes
2020.10.28 Rebranded as Intel.
Renamed the document as AN 795: Implementing Guidelines for 10G Ethernet Subsystem Using
Low Latency 10G MAC Intel FPGA IP in Intel Arria 10 Devices.
Date Version Changes
February 2017 2017.02.01 Initial release.
1. Implementing Guidelines for 10G Ethernet Subsystem Using Low Latency 10G MAC Intel®
FPGA IP in Intel® Arria® 10 Devices
683347 | 2020.10.28
AN 795: Implementing Guidelines for 10G Ethernet Subsystem Using Low
Latency 10G MAC Intel® FPGA IP in Intel® Arria® 10 Devices Send Feedback
12
1. Implementing Guidelines for 10G Ethernet Subsystem Using Low Latency 10G MAC Intel®
FPGA IP in Intel® Arria® 10 Devices
683347 | 2020.10.28
Send Feedback AN 795: Implementing Guidelines for 10G Ethernet Subsystem Using Low
Latency 10G MAC Intel® FPGA IP in Intel® Arria® 10 Devices
13
  • Page 1 1
  • Page 2 2
  • Page 3 3
  • Page 4 4
  • Page 5 5
  • Page 6 6
  • Page 7 7
  • Page 8 8
  • Page 9 9
  • Page 10 10
  • Page 11 11
  • Page 12 12
  • Page 13 13

Intel AN 795 User guide

Type
User guide

Intel AN 795 is a comprehensive guide for implementing 10G Ethernet subsystems using Intel's Low Latency 10G Media Access Controller (MAC) and PHY IPs in Intel Arria 10 devices. It provides detailed guidelines for configuring and instantiating these IPs to create high-performance Ethernet solutions. The document includes design examples, clocking and reset schemes, and troubleshooting tips, making it a valuable resource for engineers working on 10G Ethernet designs with Intel Arria 10 FPGAs.

Ask a question and I''ll find the answer in the document

Finding information in a document is now easier with AI