Freescale Semiconductor Microcontrollers User manual

Category
Software
Type
User manual
Microcontrollers
Debugger Manual
Revised: 22 October 2007
Freescale™ and the Freescale logo are trademarks of Freescale Semiconductor, Inc. CodeWarrior is a trademark or reg-
istered trademark of Freescale Semiconductor, Inc. in the United States and/or other countries. All other product or ser-
vice names are the property of their respective owners.
Copyright © 1989–2007 by Freescale Semiconductor, Inc. All rights reserved.
Information in this document is provided solely to enable system and software implementers to use Freescale Semicon-
ductor products. There are no express or implied copyright licenses granted hereunder to design or fabricate any inte-
grated circuits or integrated circuits based on the information in this document.
Freescale Semiconductor reserves the right to make changes without further notice to any products herein. Freescale
Semiconductor makes no warranty, representation or guarantee regarding the suitability of its products for any partic-
ular purpose, nor does Freescale Semiconductor assume any liability arising out of the application or use of any product
or circuit, and specifically disclaims any and all liability, including without limitation consequential or incidental dam-
ages. “Typical” parameters that may be provided in Freescale Semiconductor data sheets and/or specifications can and
do vary in different applications and actual performance may vary over time. All operating parameters, including “Typ-
icals”, must be validated for each customer application by customer's technical experts. Freescale Semiconductor does
not convey any license under its patent rights nor the rights of others. Freescale Semiconductor products are not de-
signed, intended, or authorized for use as components in systems intended for surgical implant into the body, or other
applications intended to support or sustain life, or for any other application in which the failure of the Freescale Semi-
conductor product could create a situation where personal injury or death may occur. Should Buyer purchase or use
Freescale Semiconductor products for any such unintended or unauthorized application, Buyer shall indemnify and hold
Freescale Semiconductor and its officers, employees, subsidiaries, affiliates, and distributors harmless against all
claims, costs, damages, and expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim of
personal injury or death associated with such unintended or unauthorized use, even if such claim alleges that Freescale
Semiconductor was negligent regarding the design or manufacture of the part.
How to Contact Us
Corporate Headquarters Freescale Semiconductor, Inc.
7700 West Parmer Lane
Austin, TX 78729
U.S.A.
World Wide Web
http://www.freescale.com/codewarrior
Technical Support
http://www.freescale.com/support
3
Microcontrollers Debugger Manual
Table of Contents
Introduction
Manual Contents. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
Book I - Debugger Engine
Book I Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
1 Introduction 25
Freescale Debugger. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
Debugger Application. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
Debugger Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26
Demo Version Limitations on Components . . . . . . . . . . . . . . . . . . . . . . . . . 26
2 Debugger Interface 27
Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27
Application Programs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27
Starting the Debugger . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28
Starting from within the IDE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28
Debugger Command Line Start. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29
Debugger Main Window. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32
Debugger Main Window Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
Debugger Main Window Status Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
Main Window Menu Bar. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
Component Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
Window Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50
Help Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51
Component Associated Menus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
Component Main Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
Table of Contents
4
Microcontrollers Debugger Manual
Component Windows Object Info Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . .53
Component Context Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .53
Highlights of the User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .54
Activating Services with Drag and Drop. . . . . . . . . . . . . . . . . . . . . . . . . . . .54
To Drag and Drop an Object . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .55
Drag and Drop Combinations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .55
3 Debugger Components 61
Component Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .61
CPU Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .61
Window Components. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .61
Connection Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .62
Loading Component Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .62
General Debugger Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .64
Assembly Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .64
Command Line Component. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .69
Coverage Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .73
DA-C Link Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .76
Data Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .79
Memory Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .90
Module Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .102
Procedure Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .104
Profiler Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .106
Recorder Component. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .109
Register Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .111
Source Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .115
Terminal Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .125
Trace Component. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .130
Visualization Utilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .133
Inspector Component. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .133
Visualization Tool Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .141
4 Control Points 157
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .157
Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .158
Table of Contents
5
Microcontrollers Debugger Manual
Breakpoints Tab. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160
Multiple Selections in List Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161
Checking Expressions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161
Saving Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162
Setting Breakpoints. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164
Positions Where a Breakpoint Is Definable . . . . . . . . . . . . . . . . . . . . . . . . 164
Temporary Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165
Permanent Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165
Counting Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166
Conditional Breakpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167
Deleting Breakpoints. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168
Associate a Command with a Breakpoint . . . . . . . . . . . . . . . . . . . . . . . . . . 169
Watchpoints. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170
Watchpoints Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172
Multiple Selections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173
Checking Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173
Setting Watchpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174
Setting a Read Watchpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174
Setting a Write Watchpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175
Defining a Read/Write Watchpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175
Defining a Counting Watchpoint. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 176
Defining a Conditional Watchpoint. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177
Deleting a Watchpoint. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 178
Associate a Command with a Watchpoint. . . . . . . . . . . . . . . . . . . . . . . . . . 178
Markpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179
Markpoints Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 181
Setting Markpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182
Setting a Source Markpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182
Setting a Data Markpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183
Setting a Memory Markpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183
Deleting a Markpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184
Halting on a Control Point . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184
5 Real Time Kernel Awareness 185
Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185
Table of Contents
6
Microcontrollers Debugger Manual
Inspecting Task State . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .186
Task Description Language. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .186
Application Example. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .188
Inspecting Kernel Data Structures. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .189
OSEK Kernel Awareness. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .190
OSEK Run Time Interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .191
ORTI File and Filename . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .191
OSEK RTK Inspector Component. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .193
6 How To... 199
How To Configure the Debugger . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .199
For Use from Desktop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .199
Starting Debugger from CodeWarrior IDE . . . . . . . . . . . . . . . . . . . . . . . . . . . .200
Automating Debugger Startup. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .201
How To Load an Application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .202
How to Start an Application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .202
How to Stop an Application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .203
How to Step in the Application . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .203
On Source Level . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .203
Step Over a Function Call (Flat Step) . . . . . . . . . . . . . . . . . . . . . . . . . . . . .204
Step on Assembly Level . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .205
How to Work on Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .205
Display Local Variable from a Function . . . . . . . . . . . . . . . . . . . . . . . . . . .205
Display Global Variable from a Module . . . . . . . . . . . . . . . . . . . . . . . . . . .206
Change Format for Variable Value Display . . . . . . . . . . . . . . . . . . . . . . . . .207
Modify a Variable Value . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .208
Get the Address Where a Variable is Allocated. . . . . . . . . . . . . . . . . . . . . .208
Inspect Memory Starting at a Variable Location Address. . . . . . . . . . . . . .209
Load an Address Register with the Address of a Variable . . . . . . . . . . . . .209
How to Work on the Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .209
Change Format of Register Display. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .209
Modify a Register Content. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .210
Start Memory Dump at Address Where Register is Pointing . . . . . . . . . . .211
Modify Content of Memory Address . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .212
How to Consult Assembler Instructions Generated by a Source Statement . . .212
Table of Contents
7
Microcontrollers Debugger Manual
How to View Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 213
How to Communicate with the Application . . . . . . . . . . . . . . . . . . . . . . . . . . . 214
7 CodeWarrior IDE Integration 215
Debugger Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 215
8 Debugger DDE Capabilities 217
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217
DDE Implementation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217
9 Synchronized Debugging Through DA-C IDE 219
Configuring DA-C IDE for Freescale Tool Kit. . . . . . . . . . . . . . . . . . . . . . . . . 219
Create New Project . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220
Configuring The Tools . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 226
Debugger Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 230
DA-C IDE and Debugger Communication . . . . . . . . . . . . . . . . . . . . . . . . . 230
Synchronized Debugging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233
Troubleshooting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 234
Book II - HC08 Debug Connections
Book II Contents. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237
10 Microcontroller Debugging First Steps 239
Technical Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 239
Full Chip Simulation Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 239
HC08 Serial Monitor Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 240
ICS MON08 Interface Connection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 240
P&E Multilink/Cyclone Pro Considerations. . . . . . . . . . . . . . . . . . . . . . . . 240
ICS P&E Multilink/Cyclone Pro Considerations . . . . . . . . . . . . . . . . . . . . 240
SofTec HC08 Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 240
Debugging First Steps Using the Wizard . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 241
Switching Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 246
Table of Contents
8
Microcontrollers Debugger Manual
Loading the Full Chip Simulation Connection . . . . . . . . . . . . . . . . . . . . . .246
Loading the P&E Multilink/Cyclone Pro Connection. . . . . . . . . . . . . . . . .248
Loading the HC(S)08 or RS08 Open Source BDM Connection . . . . . . . . .251
11 HC08 Full Chip Simulation 253
Configuration Procedure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .253
Select Device Option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .255
Full Chip Simulation Module Commands. . . . . . . . . . . . . . . . . . . . . . . . . .255
Peripheral Modules Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .257
ADC Module Option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .258
Clock Generation Module Option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .260
High-Resolution PWM Module. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .262
Input/Output (I/O) Ports Module. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .265
External Interrupt Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .268
Keyboard Interrupt Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .269
Multi-Master Inter-Integrated Circuit Module . . . . . . . . . . . . . . . . . . . . . .272
FCSMSCAN Controller Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .276
FCS Programmable Timer Interrupt Module. . . . . . . . . . . . . . . . . . . . . . . .291
FCS Serial Communications Interface Module. . . . . . . . . . . . . . . . . . . . . .292
FCS Slave LIN Interface Controller Module. . . . . . . . . . . . . . . . . . . . . . . .296
FCS Serial Peripheral Interface Module . . . . . . . . . . . . . . . . . . . . . . . . . . .300
FCSTimer Interface Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .304
FCS Universal Serial Bus (USB) Module . . . . . . . . . . . . . . . . . . . . . . . . . .309
12 MON08 Interface Connection 333
Connection Procedure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .333
Advanced Settings Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .335
Target MCU Security Bytes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .338
Active Mode Connection Menu Options. . . . . . . . . . . . . . . . . . . . . . . . . . .342
Device Class Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .346
13 ICS MON08 Interface Connection 349
ICS Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .349
Connection Procedure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .350
Advanced Settings Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .352
Table of Contents
9
Microcontrollers Debugger Manual
Cycle Power Radio Button . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 354
Target MCU Security Bytes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 355
STATUS Area . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 355
Active Mode Connection Menu Options. . . . . . . . . . . . . . . . . . . . . . . . . . . 358
Device Class Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 361
14 HC08 P&E Multilink/Cyclone Pro Connection 365
Connection Procedure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 365
Advanced Settings Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 368
Target MCU Security Bytes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 371
STATUS Area . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 372
Connection (MultilinkCyclonePro) Menu . . . . . . . . . . . . . . . . . . . . . . . . . 374
Active Mode Menu Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 375
Debugging Limitations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 379
Debugging Tips . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 379
Device Class Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 380
Class 5 Device. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 380
Class 7 Device. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 380
Class 8 Device. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 380
15 HC08 ICS P&E Multilink/Cyclone Pro Connections 381
Connection Procedure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 382
Advanced Settings Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 384
Target MCU Security Bytes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 387
STATUS Area . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 387
Active Mode Menu Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 390
Device Class Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393
Class 5 Device. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393
Class 7 Device. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393
Class 8 Device. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 394
16 SofTec HC08 Connection 395
SofTec HC08 Technical Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 395
CodeWarrior IDE and SofTec HC08 Connection . . . . . . . . . . . . . . . . . . . . . . . 395
Using the Stationery Wizard. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 396
Table of Contents
10
Microcontrollers Debugger Manual
From Within an Existing Project. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .397
inDart-HC08 Menu Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .398
MCU Configuration Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .399
Communication Settings Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . .399
About Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .400
17 HC08 FSICEBASE Emulator 401
FSICEBASE Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .401
System Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .402
System Features. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .402
System Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .403
Setting Up the FSICEBASE System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .405
Setting Up the Hardware . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .405
Establishing Communication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .407
Communication Through Ethernet Port . . . . . . . . . . . . . . . . . . . . . . . . . . .407
Communication Through USB Port . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .408
Setting Up the System. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .409
Specifying A Connection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .409
Specifying Communication Information. . . . . . . . . . . . . . . . . . . . . . . . . . .410
Assigning an IP Address to FSICEBASE . . . . . . . . . . . . . . . . . . . . . . . . . .412
Specifying a Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .414
Specifying the Clock Speed. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .417
Emulation System Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .419
Setting Up Logic Cables and Connectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . .419
Bus State Analyzer (BSA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .421
Using BSA. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .421
Book III - HCS08 Debug Connections
Book III Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .429
18 HCS08 Full Chip Simulation 431
Configuration Procedure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .431
Table of Contents
11
Microcontrollers Debugger Manual
Connection (HCS08FCS) Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 432
Peripheral Modules Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 435
ADC Module. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 436
Clock Generation Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 438
Inter-Integrated Circuit Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 440
Input/Output (I/O) Ports Module. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 444
External Interrupt (IRQ) Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 447
Keyboard Interrupt Module. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 450
Modulo Timer Interrupt Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 452
Serial Communications Interface Module. . . . . . . . . . . . . . . . . . . . . . . . . . 453
Serial Peripheral Interface Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 457
Timer Interface Module. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 461
19 HCS08 P&E Multilink/Cyclone Pro Connection 467
Connection Procedure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 467
MultilinkCyclonePro Menu Description. . . . . . . . . . . . . . . . . . . . . . . . . . . 470
Active Mode Menu Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 471
20 HCS08 Open Source BDM Connection 477
HCS08 Open Source BDM Technical Considerations . . . . . . . . . . . . . . . . . . . 477
CodeWarrior IDE and HCS08 Open Source BDM Connection . . . . . . . . . . . . 477
First Steps Using the Stationery Wizard. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 478
First Steps From Within an Existing Project . . . . . . . . . . . . . . . . . . . . . . . . . . 479
HCS08 Open Source BDM Menu Options . . . . . . . . . . . . . . . . . . . . . . . . . 480
HCS08 Open Source BDM Setup Dialog Box . . . . . . . . . . . . . . . . . . . . . . 482
Select Derivative Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 483
Information Required to Unsecure the Device . . . . . . . . . . . . . . . . . . . . . . 484
Show Status Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 485
21 HCS08 Serial Monitor Connection 487
Serial Monitor Technical Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 487
CodeWarrior IDE and Serial Monitor Connection . . . . . . . . . . . . . . . . . . . . . . 487
First Steps Using the Stationery Wizard. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 488
First Steps from Within an Existing Project . . . . . . . . . . . . . . . . . . . . . . . . . . . 489
MONITOR-HCS08 Menu Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 492
Table of Contents
12
Microcontrollers Debugger Manual
Monitor Setup Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .493
Derivative Selection Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .495
22 SofTec HCS08 Connection 497
SofTec HCS08 Technical Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . .497
CodeWarrior IDE and SofTec HCS08 Connection . . . . . . . . . . . . . . . . . . . . . .497
First Steps Using the Stationery Wizard . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .498
First Steps from Within an Existing Project . . . . . . . . . . . . . . . . . . . . . . . . . . .499
SofTec HCS08 Menu Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .500
MCU Configuration Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .501
Communication Settings Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . .501
About Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .502
23 HCS08 On-Chip DBG Module 503
Reference Document . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .503
DBG Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .503
Specific Connection Menu Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .504
Context Menu Entries in Source, Data, Assembly and Memory Windows . . .505
Source and Assembly Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .505
Trigger Stored as Markpoints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .508
Data and Memory Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .509
Expert Triggers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .512
Trigger Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .514
Trigger Module Usage. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .515
DBG Support Status Bar Item. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .515
Trigger Module Settings Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .516
DBG Module Mode Setup. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .517
Automatic Mode (Default) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .517
Expert Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .518
Expert Mode Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .519
Profiling and Coverage Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .519
Disabled Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .520
Memory Access Triggers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .521
Instruction Triggers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .523
Capture Triggers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .526
Table of Contents
13
Microcontrollers Debugger Manual
DBG Module Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 527
Trigger Editing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 529
Trigger Module Settings Window - Display Information . . . . . . . . . . . . . . 530
General Settings Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 531
Trace Component Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 532
Instructions Display. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 533
Graphical Display . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 534
Textual Display . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 534
Column Display and Moving . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 535
Goto Frame . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 536
Clearing Frames . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 536
Limitations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 538
HCS08 DBG V3 New Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 538
MMU and Extended Address Space . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 538
LOOP1 mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 538
Ability to record until Reset and from Reset. . . . . . . . . . . . . . . . . . . . . . . . 539
Expert Triggers tab extended. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 543
Book IV - RS08 Debug Connections
Book IV Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 545
24 RS08 Full Chip Simulation 547
Configuration Procedure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 547
Connection (RS08FCS) Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 548
Peripheral Modules Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 552
25 RS08 P&E Multilink/Cyclone Pro Connection 553
Connection Procedure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 553
MultilinkCyclonePro Menu Description. . . . . . . . . . . . . . . . . . . . . . . . . . . 555
Active Mode Menu Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 556
Table of Contents
14
Microcontrollers Debugger Manual
26 RS08 Open Source BDM Connection 561
RS08 Open Source BDM Technical Considerations. . . . . . . . . . . . . . . . . . . . .561
CodeWarrior IDE and RS08 Open Source BDM Connection . . . . . . . . . . . . .561
First Steps Using the Stationery Wizard . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .562
First Steps From Within an Existing Project. . . . . . . . . . . . . . . . . . . . . . . . . . .563
RS08 Open Source BDM Menu Options . . . . . . . . . . . . . . . . . . . . . . . . . .564
RS08 Open Source BDM Setup Dialog Box. . . . . . . . . . . . . . . . . . . . . . . .565
Select Derivative Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .566
Show Status Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .566
27 SofTec RS08 Connection 567
SofTec RS08 Technical Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .567
CodeWarrior IDE and SofTec RS08 Connection . . . . . . . . . . . . . . . . . . . . . . .567
First Steps Using the Stationery Wizard . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .568
First Steps From Within an Existing Project. . . . . . . . . . . . . . . . . . . . . . . . . . .570
SofTec RS08 Menu Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .571
MCU Configuration Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .572
Communication Settings Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . .572
About Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .573
Book V - ColdFire® V1.0 Debug
Connections
Book V Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .575
28 ColdFire V1 Full Chip Simulation Connection 577
Full Chip Simulation Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .577
Memory Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .580
Clock Frequency Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .586
Bus Trace . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .587
Full Chip Simulation Warnings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .588
FCS and Silicon On-Chip Peripherals Simulation . . . . . . . . . . . . . . . . . . . . . .592
Table of Contents
15
Microcontrollers Debugger Manual
FCS Visualization Utilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 593
Analog Meter Component. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 594
IO_LED Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 595
LED Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 597
Phone Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 598
ADC/DAC Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 600
Conversion Parameters Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 603
IT_Keyboard Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 605
Interruption Keyboard Setup. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 607
LCD Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 608
Monitor Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 614
Push Buttons Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 617
Programmable IO_Ports Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 619
7-Segments Display Component. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 621
Stimulation Component. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 624
Terminal Component. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 631
True Time I/O Stimulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 638
Stimulation Program Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 638
Stimulation Input File Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 645
Electrical Signal Generators and Signals Application to Device Pins . . . . . . . 647
Signal IO Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 647
Signal Description File EBNF. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 647
Base Signal Files Provided . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 652
Virtual Wire Connections with the Pinconn IO Component. . . . . . . . . . . . 652
Command Set to Apply Signal on ATD Pin . . . . . . . . . . . . . . . . . . . . . . . . 654
FCS Tutorials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 654
Guess the Number . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 654
PWM Sample . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 663
29 ColdFire P&E Multilink/Cyclone Pro Connection 669
P&E Multilink/Cyclone Pro Technical Considerations . . . . . . . . . . . . . . . . . . 669
CodeWarrior IDE and P&E Multilink/Cyclone Pro Connection . . . . . . . . . . . 669
First Steps Using the Stationery Wizard. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 670
First Steps From Within an Existing Project . . . . . . . . . . . . . . . . . . . . . . . . . . 671
P&E Multilink/Cyclone Pro Menu Options . . . . . . . . . . . . . . . . . . . . . . . . 673
Table of Contents
16
Microcontrollers Debugger Manual
P&E Multilink/Cyclone Pro Setup Dialog Box. . . . . . . . . . . . . . . . . . . . . .674
Connection Manager Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .675
Set Derivative Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .676
30 SofTec ColdFire Connection 677
SofTec ColdFire Technical Considerations. . . . . . . . . . . . . . . . . . . . . . . . . . . .677
CodeWarrior IDE and SofTec ColdFire Connection. . . . . . . . . . . . . . . . . . . . .677
First Steps Using the Stationery Wizard . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .678
First Steps From Within an Existing Project. . . . . . . . . . . . . . . . . . . . . . . . . . .679
SofTec ColdFire Menu Options. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .681
SofTec Coldfire Setup Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .682
Target Connection Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .683
Communication Settings Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . .684
Set Derivative Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .685
About Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .686
31 ColdFire On-Chip DBG Module 687
DBG Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .687
Context Menu Entries in Source, Data, Assembly and Memory Windows . . .688
Trigger Module Settings Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .689
Trigger Module Usage/DBG Module Setup . . . . . . . . . . . . . . . . . . . . . . . .689
General Settings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .695
Book VI - Connection Common
Features
Book VI Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .697
32 Flash Programming 699
Non-Volatile Memory Control Utility Introduction . . . . . . . . . . . . . . . . . . . . .699
Automated Application Programming . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .699
Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .700
Table of Contents
17
Microcontrollers Debugger Manual
Advanced Options: Erase Prevention . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 701
NVMC Graphical User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 702
Modules and Module States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 702
NVMC Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 703
Flash Module Handling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 705
MCU Speed Information. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 706
Configuration: FPP File Loading . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 706
Loading an Application in Flash . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 708
Hardware Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 709
HCS08 CPU devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 709
HCS08 CPU devices with banked/paged EEPROM . . . . . . . . . . . . . . . . . . 709
ColdFire CPU devices. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 709
NVMC Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 710
33 Debugging Memory Map 715
Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 715
The DMM GUI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 715
Edition dialog and memory range edition. . . . . . . . . . . . . . . . . . . . . . . . . . 717
Remarks. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 720
CPU Core Types and Priorities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 721
DMM Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 722
Debugging Memory Map Manager command set. . . . . . . . . . . . . . . . . . . . 722
Book VII - Commands
Book VII Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 729
34 Debugger Engine Commands 731
Commands Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 731
Available Command Lists . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 732
Command Syntax Terms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 740
Debugger Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 742
Table of Contents
18
Microcontrollers Debugger Manual
Book VIII - Environment Variables
Book VIII Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .839
35 Debugger Engine Environment Variables 841
Debugger Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .842
The Current Directory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .842
Global Initialization File (MCUTOOLS.INI - PC Only) . . . . . . . . . . . . . .843
Local Configuration File (usually project.ini). . . . . . . . . . . . . . . . . . . . . . . . . .844
Default Layout Configuration (PROJECT.INI). . . . . . . . . . . . . . . . . . . . . .845
Environment Variable Paths. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .848
Environment Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .850
Search Order for Source Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .857
In the Debugger for C Source Files (*.c, *.cpp) . . . . . . . . . . . . . . . . . . . . .857
In the Debugger for Assembly Source Files (*.dbg) . . . . . . . . . . . . . . . . . .857
In the Debugger for Object Files (HILOADER) . . . . . . . . . . . . . . . . . . . . .858
Debugger Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .858
36 Connection-Specific Environment Variables 861
Connection-Specific Environment Variables . . . . . . . . . . . . . . . . . . . . . . . . . .861
Book IX - Debugger Legacy
Book IX Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .863
37 Legacy PEDebug Target Interface 865
New P&E Connections for HC(S)08. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .865
“Revert to Full Chip Simulator” Feature Removed . . . . . . . . . . . . . . . . . . . . .865
Connection Selection or Change Always Available within IDE. . . . . . . . . . . .866
Automatic Upgrade Path for Projects Previously Created . . . . . . . . . . . . . . . .866
Table of Contents
19
Microcontrollers Debugger Manual
38 Legacy Target Interfaces Removed 867
39 HC(S)08 Full-Chip Simulator Components No Longer
Supported 869
List of HC(S)08 FCS Components No Longer Supported . . . . . . . . . . . . . . . . 869
Index 871
Table of Contents
20
Microcontrollers Debugger Manual
  • Page 1 1
  • Page 2 2
  • Page 3 3
  • Page 4 4
  • Page 5 5
  • Page 6 6
  • Page 7 7
  • Page 8 8
  • Page 9 9
  • Page 10 10
  • Page 11 11
  • Page 12 12
  • Page 13 13
  • Page 14 14
  • Page 15 15
  • Page 16 16
  • Page 17 17
  • Page 18 18
  • Page 19 19
  • Page 20 20
  • Page 21 21
  • Page 22 22
  • Page 23 23
  • Page 24 24
  • Page 25 25
  • Page 26 26
  • Page 27 27
  • Page 28 28
  • Page 29 29
  • Page 30 30
  • Page 31 31
  • Page 32 32
  • Page 33 33
  • Page 34 34
  • Page 35 35
  • Page 36 36
  • Page 37 37
  • Page 38 38
  • Page 39 39
  • Page 40 40
  • Page 41 41
  • Page 42 42
  • Page 43 43
  • Page 44 44
  • Page 45 45
  • Page 46 46
  • Page 47 47
  • Page 48 48
  • Page 49 49
  • Page 50 50
  • Page 51 51
  • Page 52 52
  • Page 53 53
  • Page 54 54
  • Page 55 55
  • Page 56 56
  • Page 57 57
  • Page 58 58
  • Page 59 59
  • Page 60 60
  • Page 61 61
  • Page 62 62
  • Page 63 63
  • Page 64 64
  • Page 65 65
  • Page 66 66
  • Page 67 67
  • Page 68 68
  • Page 69 69
  • Page 70 70
  • Page 71 71
  • Page 72 72
  • Page 73 73
  • Page 74 74
  • Page 75 75
  • Page 76 76
  • Page 77 77
  • Page 78 78
  • Page 79 79
  • Page 80 80
  • Page 81 81
  • Page 82 82
  • Page 83 83
  • Page 84 84
  • Page 85 85
  • Page 86 86
  • Page 87 87
  • Page 88 88
  • Page 89 89
  • Page 90 90
  • Page 91 91
  • Page 92 92
  • Page 93 93
  • Page 94 94
  • Page 95 95
  • Page 96 96
  • Page 97 97
  • Page 98 98
  • Page 99 99
  • Page 100 100
  • Page 101 101
  • Page 102 102
  • Page 103 103
  • Page 104 104
  • Page 105 105
  • Page 106 106
  • Page 107 107
  • Page 108 108
  • Page 109 109
  • Page 110 110
  • Page 111 111
  • Page 112 112
  • Page 113 113
  • Page 114 114
  • Page 115 115
  • Page 116 116
  • Page 117 117
  • Page 118 118
  • Page 119 119
  • Page 120 120
  • Page 121 121
  • Page 122 122
  • Page 123 123
  • Page 124 124
  • Page 125 125
  • Page 126 126
  • Page 127 127
  • Page 128 128
  • Page 129 129
  • Page 130 130
  • Page 131 131
  • Page 132 132
  • Page 133 133
  • Page 134 134
  • Page 135 135
  • Page 136 136
  • Page 137 137
  • Page 138 138
  • Page 139 139
  • Page 140 140
  • Page 141 141
  • Page 142 142
  • Page 143 143
  • Page 144 144
  • Page 145 145
  • Page 146 146
  • Page 147 147
  • Page 148 148
  • Page 149 149
  • Page 150 150
  • Page 151 151
  • Page 152 152
  • Page 153 153
  • Page 154 154
  • Page 155 155
  • Page 156 156
  • Page 157 157
  • Page 158 158
  • Page 159 159
  • Page 160 160
  • Page 161 161
  • Page 162 162
  • Page 163 163
  • Page 164 164
  • Page 165 165
  • Page 166 166
  • Page 167 167
  • Page 168 168
  • Page 169 169
  • Page 170 170
  • Page 171 171
  • Page 172 172
  • Page 173 173
  • Page 174 174
  • Page 175 175
  • Page 176 176
  • Page 177 177
  • Page 178 178
  • Page 179 179
  • Page 180 180
  • Page 181 181
  • Page 182 182
  • Page 183 183
  • Page 184 184
  • Page 185 185
  • Page 186 186
  • Page 187 187
  • Page 188 188
  • Page 189 189
  • Page 190 190
  • Page 191 191
  • Page 192 192
  • Page 193 193
  • Page 194 194
  • Page 195 195
  • Page 196 196
  • Page 197 197
  • Page 198 198
  • Page 199 199
  • Page 200 200
  • Page 201 201
  • Page 202 202
  • Page 203 203
  • Page 204 204
  • Page 205 205
  • Page 206 206
  • Page 207 207
  • Page 208 208
  • Page 209 209
  • Page 210 210
  • Page 211 211
  • Page 212 212
  • Page 213 213
  • Page 214 214
  • Page 215 215
  • Page 216 216
  • Page 217 217
  • Page 218 218
  • Page 219 219
  • Page 220 220
  • Page 221 221
  • Page 222 222
  • Page 223 223
  • Page 224 224
  • Page 225 225
  • Page 226 226
  • Page 227 227
  • Page 228 228
  • Page 229 229
  • Page 230 230
  • Page 231 231
  • Page 232 232
  • Page 233 233
  • Page 234 234
  • Page 235 235
  • Page 236 236
  • Page 237 237
  • Page 238 238
  • Page 239 239
  • Page 240 240
  • Page 241 241
  • Page 242 242
  • Page 243 243
  • Page 244 244
  • Page 245 245
  • Page 246 246
  • Page 247 247
  • Page 248 248
  • Page 249 249
  • Page 250 250
  • Page 251 251
  • Page 252 252
  • Page 253 253
  • Page 254 254
  • Page 255 255
  • Page 256 256
  • Page 257 257
  • Page 258 258
  • Page 259 259
  • Page 260 260
  • Page 261 261
  • Page 262 262
  • Page 263 263
  • Page 264 264
  • Page 265 265
  • Page 266 266
  • Page 267 267
  • Page 268 268
  • Page 269 269
  • Page 270 270
  • Page 271 271
  • Page 272 272
  • Page 273 273
  • Page 274 274
  • Page 275 275
  • Page 276 276
  • Page 277 277
  • Page 278 278
  • Page 279 279
  • Page 280 280
  • Page 281 281
  • Page 282 282
  • Page 283 283
  • Page 284 284
  • Page 285 285
  • Page 286 286
  • Page 287 287
  • Page 288 288
  • Page 289 289
  • Page 290 290
  • Page 291 291
  • Page 292 292
  • Page 293 293
  • Page 294 294
  • Page 295 295
  • Page 296 296
  • Page 297 297
  • Page 298 298
  • Page 299 299
  • Page 300 300
  • Page 301 301
  • Page 302 302
  • Page 303 303
  • Page 304 304
  • Page 305 305
  • Page 306 306
  • Page 307 307
  • Page 308 308
  • Page 309 309
  • Page 310 310
  • Page 311 311
  • Page 312 312
  • Page 313 313
  • Page 314 314
  • Page 315 315
  • Page 316 316
  • Page 317 317
  • Page 318 318
  • Page 319 319
  • Page 320 320
  • Page 321 321
  • Page 322 322
  • Page 323 323
  • Page 324 324
  • Page 325 325
  • Page 326 326
  • Page 327 327
  • Page 328 328
  • Page 329 329
  • Page 330 330
  • Page 331 331
  • Page 332 332
  • Page 333 333
  • Page 334 334
  • Page 335 335
  • Page 336 336
  • Page 337 337
  • Page 338 338
  • Page 339 339
  • Page 340 340
  • Page 341 341
  • Page 342 342
  • Page 343 343
  • Page 344 344
  • Page 345 345
  • Page 346 346
  • Page 347 347
  • Page 348 348
  • Page 349 349
  • Page 350 350
  • Page 351 351
  • Page 352 352
  • Page 353 353
  • Page 354 354
  • Page 355 355
  • Page 356 356
  • Page 357 357
  • Page 358 358
  • Page 359 359
  • Page 360 360
  • Page 361 361
  • Page 362 362
  • Page 363 363
  • Page 364 364
  • Page 365 365
  • Page 366 366
  • Page 367 367
  • Page 368 368
  • Page 369 369
  • Page 370 370
  • Page 371 371
  • Page 372 372
  • Page 373 373
  • Page 374 374
  • Page 375 375
  • Page 376 376
  • Page 377 377
  • Page 378 378
  • Page 379 379
  • Page 380 380
  • Page 381 381
  • Page 382 382
  • Page 383 383
  • Page 384 384
  • Page 385 385
  • Page 386 386
  • Page 387 387
  • Page 388 388
  • Page 389 389
  • Page 390 390
  • Page 391 391
  • Page 392 392
  • Page 393 393
  • Page 394 394
  • Page 395 395
  • Page 396 396
  • Page 397 397
  • Page 398 398
  • Page 399 399
  • Page 400 400
  • Page 401 401
  • Page 402 402
  • Page 403 403
  • Page 404 404
  • Page 405 405
  • Page 406 406
  • Page 407 407
  • Page 408 408
  • Page 409 409
  • Page 410 410
  • Page 411 411
  • Page 412 412
  • Page 413 413
  • Page 414 414
  • Page 415 415
  • Page 416 416
  • Page 417 417
  • Page 418 418
  • Page 419 419
  • Page 420 420
  • Page 421 421
  • Page 422 422
  • Page 423 423
  • Page 424 424
  • Page 425 425
  • Page 426 426
  • Page 427 427
  • Page 428 428
  • Page 429 429
  • Page 430 430
  • Page 431 431
  • Page 432 432
  • Page 433 433
  • Page 434 434
  • Page 435 435
  • Page 436 436
  • Page 437 437
  • Page 438 438
  • Page 439 439
  • Page 440 440
  • Page 441 441
  • Page 442 442
  • Page 443 443
  • Page 444 444
  • Page 445 445
  • Page 446 446
  • Page 447 447
  • Page 448 448
  • Page 449 449
  • Page 450 450
  • Page 451 451
  • Page 452 452
  • Page 453 453
  • Page 454 454
  • Page 455 455
  • Page 456 456
  • Page 457 457
  • Page 458 458
  • Page 459 459
  • Page 460 460
  • Page 461 461
  • Page 462 462
  • Page 463 463
  • Page 464 464
  • Page 465 465
  • Page 466 466
  • Page 467 467
  • Page 468 468
  • Page 469 469
  • Page 470 470
  • Page 471 471
  • Page 472 472
  • Page 473 473
  • Page 474 474
  • Page 475 475
  • Page 476 476
  • Page 477 477
  • Page 478 478
  • Page 479 479
  • Page 480 480
  • Page 481 481
  • Page 482 482
  • Page 483 483
  • Page 484 484
  • Page 485 485
  • Page 486 486
  • Page 487 487
  • Page 488 488
  • Page 489 489
  • Page 490 490
  • Page 491 491
  • Page 492 492
  • Page 493 493
  • Page 494 494
  • Page 495 495
  • Page 496 496
  • Page 497 497
  • Page 498 498
  • Page 499 499
  • Page 500 500
  • Page 501 501
  • Page 502 502
  • Page 503 503
  • Page 504 504
  • Page 505 505
  • Page 506 506
  • Page 507 507
  • Page 508 508
  • Page 509 509
  • Page 510 510
  • Page 511 511
  • Page 512 512
  • Page 513 513
  • Page 514 514
  • Page 515 515
  • Page 516 516
  • Page 517 517
  • Page 518 518
  • Page 519 519
  • Page 520 520
  • Page 521 521
  • Page 522 522
  • Page 523 523
  • Page 524 524
  • Page 525 525
  • Page 526 526
  • Page 527 527
  • Page 528 528
  • Page 529 529
  • Page 530 530
  • Page 531 531
  • Page 532 532
  • Page 533 533
  • Page 534 534
  • Page 535 535
  • Page 536 536
  • Page 537 537
  • Page 538 538
  • Page 539 539
  • Page 540 540
  • Page 541 541
  • Page 542 542
  • Page 543 543
  • Page 544 544
  • Page 545 545
  • Page 546 546
  • Page 547 547
  • Page 548 548
  • Page 549 549
  • Page 550 550
  • Page 551 551
  • Page 552 552
  • Page 553 553
  • Page 554 554
  • Page 555 555
  • Page 556 556
  • Page 557 557
  • Page 558 558
  • Page 559 559
  • Page 560 560
  • Page 561 561
  • Page 562 562
  • Page 563 563
  • Page 564 564
  • Page 565 565
  • Page 566 566
  • Page 567 567
  • Page 568 568
  • Page 569 569
  • Page 570 570
  • Page 571 571
  • Page 572 572
  • Page 573 573
  • Page 574 574
  • Page 575 575
  • Page 576 576
  • Page 577 577
  • Page 578 578
  • Page 579 579
  • Page 580 580
  • Page 581 581
  • Page 582 582
  • Page 583 583
  • Page 584 584
  • Page 585 585
  • Page 586 586
  • Page 587 587
  • Page 588 588
  • Page 589 589
  • Page 590 590
  • Page 591 591
  • Page 592 592
  • Page 593 593
  • Page 594 594
  • Page 595 595
  • Page 596 596
  • Page 597 597
  • Page 598 598
  • Page 599 599
  • Page 600 600
  • Page 601 601
  • Page 602 602
  • Page 603 603
  • Page 604 604
  • Page 605 605
  • Page 606 606
  • Page 607 607
  • Page 608 608
  • Page 609 609
  • Page 610 610
  • Page 611 611
  • Page 612 612
  • Page 613 613
  • Page 614 614
  • Page 615 615
  • Page 616 616
  • Page 617 617
  • Page 618 618
  • Page 619 619
  • Page 620 620
  • Page 621 621
  • Page 622 622
  • Page 623 623
  • Page 624 624
  • Page 625 625
  • Page 626 626
  • Page 627 627
  • Page 628 628
  • Page 629 629
  • Page 630 630
  • Page 631 631
  • Page 632 632
  • Page 633 633
  • Page 634 634
  • Page 635 635
  • Page 636 636
  • Page 637 637
  • Page 638 638
  • Page 639 639
  • Page 640 640
  • Page 641 641
  • Page 642 642
  • Page 643 643
  • Page 644 644
  • Page 645 645
  • Page 646 646
  • Page 647 647
  • Page 648 648
  • Page 649 649
  • Page 650 650
  • Page 651 651
  • Page 652 652
  • Page 653 653
  • Page 654 654
  • Page 655 655
  • Page 656 656
  • Page 657 657
  • Page 658 658
  • Page 659 659
  • Page 660 660
  • Page 661 661
  • Page 662 662
  • Page 663 663
  • Page 664 664
  • Page 665 665
  • Page 666 666
  • Page 667 667
  • Page 668 668
  • Page 669 669
  • Page 670 670
  • Page 671 671
  • Page 672 672
  • Page 673 673
  • Page 674 674
  • Page 675 675
  • Page 676 676
  • Page 677 677
  • Page 678 678
  • Page 679 679
  • Page 680 680
  • Page 681 681
  • Page 682 682
  • Page 683 683
  • Page 684 684
  • Page 685 685
  • Page 686 686
  • Page 687 687
  • Page 688 688
  • Page 689 689
  • Page 690 690
  • Page 691 691
  • Page 692 692
  • Page 693 693
  • Page 694 694
  • Page 695 695
  • Page 696 696
  • Page 697 697
  • Page 698 698
  • Page 699 699
  • Page 700 700
  • Page 701 701
  • Page 702 702
  • Page 703 703
  • Page 704 704
  • Page 705 705
  • Page 706 706
  • Page 707 707
  • Page 708 708
  • Page 709 709
  • Page 710 710
  • Page 711 711
  • Page 712 712
  • Page 713 713
  • Page 714 714
  • Page 715 715
  • Page 716 716
  • Page 717 717
  • Page 718 718
  • Page 719 719
  • Page 720 720
  • Page 721 721
  • Page 722 722
  • Page 723 723
  • Page 724 724
  • Page 725 725
  • Page 726 726
  • Page 727 727
  • Page 728 728
  • Page 729 729
  • Page 730 730
  • Page 731 731
  • Page 732 732
  • Page 733 733
  • Page 734 734
  • Page 735 735
  • Page 736 736
  • Page 737 737
  • Page 738 738
  • Page 739 739
  • Page 740 740
  • Page 741 741
  • Page 742 742
  • Page 743 743
  • Page 744 744
  • Page 745 745
  • Page 746 746
  • Page 747 747
  • Page 748 748
  • Page 749 749
  • Page 750 750
  • Page 751 751
  • Page 752 752
  • Page 753 753
  • Page 754 754
  • Page 755 755
  • Page 756 756
  • Page 757 757
  • Page 758 758
  • Page 759 759
  • Page 760 760
  • Page 761 761
  • Page 762 762
  • Page 763 763
  • Page 764 764
  • Page 765 765
  • Page 766 766
  • Page 767 767
  • Page 768 768
  • Page 769 769
  • Page 770 770
  • Page 771 771
  • Page 772 772
  • Page 773 773
  • Page 774 774
  • Page 775 775
  • Page 776 776
  • Page 777 777
  • Page 778 778
  • Page 779 779
  • Page 780 780
  • Page 781 781
  • Page 782 782
  • Page 783 783
  • Page 784 784
  • Page 785 785
  • Page 786 786
  • Page 787 787
  • Page 788 788
  • Page 789 789
  • Page 790 790
  • Page 791 791
  • Page 792 792
  • Page 793 793
  • Page 794 794
  • Page 795 795
  • Page 796 796
  • Page 797 797
  • Page 798 798
  • Page 799 799
  • Page 800 800
  • Page 801 801
  • Page 802 802
  • Page 803 803
  • Page 804 804
  • Page 805 805
  • Page 806 806
  • Page 807 807
  • Page 808 808
  • Page 809 809
  • Page 810 810
  • Page 811 811
  • Page 812 812
  • Page 813 813
  • Page 814 814
  • Page 815 815
  • Page 816 816
  • Page 817 817
  • Page 818 818
  • Page 819 819
  • Page 820 820
  • Page 821 821
  • Page 822 822
  • Page 823 823
  • Page 824 824
  • Page 825 825
  • Page 826 826
  • Page 827 827
  • Page 828 828
  • Page 829 829
  • Page 830 830
  • Page 831 831
  • Page 832 832
  • Page 833 833
  • Page 834 834
  • Page 835 835
  • Page 836 836
  • Page 837 837
  • Page 838 838
  • Page 839 839
  • Page 840 840
  • Page 841 841
  • Page 842 842
  • Page 843 843
  • Page 844 844
  • Page 845 845
  • Page 846 846
  • Page 847 847
  • Page 848 848
  • Page 849 849
  • Page 850 850
  • Page 851 851
  • Page 852 852
  • Page 853 853
  • Page 854 854
  • Page 855 855
  • Page 856 856
  • Page 857 857
  • Page 858 858
  • Page 859 859
  • Page 860 860
  • Page 861 861
  • Page 862 862
  • Page 863 863
  • Page 864 864
  • Page 865 865
  • Page 866 866
  • Page 867 867
  • Page 868 868
  • Page 869 869
  • Page 870 870
  • Page 871 871
  • Page 872 872
  • Page 873 873
  • Page 874 874
  • Page 875 875
  • Page 876 876
  • Page 877 877
  • Page 878 878
  • Page 879 879
  • Page 880 880
  • Page 881 881
  • Page 882 882
  • Page 883 883
  • Page 884 884
  • Page 885 885
  • Page 886 886
  • Page 887 887
  • Page 888 888
  • Page 889 889
  • Page 890 890
  • Page 891 891
  • Page 892 892

Freescale Semiconductor Microcontrollers User manual

Category
Software
Type
User manual

Ask a question and I''ll find the answer in the document

Finding information in a document is now easier with AI